From 360ae72198ef1bef8e84b17d5c8843acb2d4baab Mon Sep 17 00:00:00 2001 From: Davide Date: Wed, 9 Apr 2025 11:40:21 +0200 Subject: [PATCH] Reorder reset, sys_clock and USB UART ports in lab_2_wrapper and update synthesis flow mode in lab_2.bd --- LAB2/cons/basys3_master.xdc | 294 ------------------ LAB2/src/lab_2/hdl/lab_2_wrapper.vhd | 6 +- LAB2/src/lab_2/lab_2.bd | 199 +++++++------ LAB2/vivado/lab2/lab2.xpr | 425 ++------------------------- 4 files changed, 131 insertions(+), 793 deletions(-) delete mode 100644 LAB2/cons/basys3_master.xdc diff --git a/LAB2/cons/basys3_master.xdc b/LAB2/cons/basys3_master.xdc deleted file mode 100644 index a0a16cb..0000000 --- a/LAB2/cons/basys3_master.xdc +++ /dev/null @@ -1,294 +0,0 @@ -## This file is a general .xdc for the Basys3 rev B board -## To use it in a project: -## - uncomment the lines corresponding to used pins -## - rename the used ports (in each line, after get_ports) according to the top level signal names in the project - -## Clock signal -#set_property PACKAGE_PIN W5 [get_ports clk] - #set_property IOSTANDARD LVCMOS33 [get_ports clk] - #create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk] - -## Switches -#set_property PACKAGE_PIN V17 [get_ports {sw[0]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {sw[0]}] -#set_property PACKAGE_PIN V16 [get_ports {sw[1]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {sw[1]}] -#set_property PACKAGE_PIN W16 [get_ports {sw[2]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {sw[2]}] -#set_property PACKAGE_PIN W17 [get_ports {sw[3]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {sw[3]}] -#set_property PACKAGE_PIN W15 [get_ports {sw[4]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {sw[4]}] -#set_property PACKAGE_PIN V15 [get_ports {sw[5]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {sw[5]}] -#set_property PACKAGE_PIN W14 [get_ports {sw[6]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {sw[6]}] -#set_property PACKAGE_PIN W13 [get_ports {sw[7]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {sw[7]}] -#set_property PACKAGE_PIN V2 [get_ports {sw[8]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {sw[8]}] -#set_property PACKAGE_PIN T3 [get_ports {sw[9]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {sw[9]}] -#set_property PACKAGE_PIN T2 [get_ports {sw[10]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {sw[10]}] -#set_property PACKAGE_PIN R3 [get_ports {sw[11]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {sw[11]}] -#set_property PACKAGE_PIN W2 [get_ports {sw[12]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {sw[12]}] -#set_property PACKAGE_PIN U1 [get_ports {sw[13]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {sw[13]}] -#set_property PACKAGE_PIN T1 [get_ports {sw[14]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {sw[14]}] -#set_property PACKAGE_PIN R2 [get_ports {sw[15]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {sw[15]}] - - -## LEDs -#set_property PACKAGE_PIN U16 [get_ports {led[0]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}] -#set_property PACKAGE_PIN E19 [get_ports {led[1]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}] -#set_property PACKAGE_PIN U19 [get_ports {led[2]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {led[2]}] -#set_property PACKAGE_PIN V19 [get_ports {led[3]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {led[3]}] -#set_property PACKAGE_PIN W18 [get_ports {led[4]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {led[4]}] -#set_property PACKAGE_PIN U15 [get_ports {led[5]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {led[5]}] -#set_property PACKAGE_PIN U14 [get_ports {led[6]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {led[6]}] -#set_property PACKAGE_PIN V14 [get_ports {led[7]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {led[7]}] -#set_property PACKAGE_PIN V13 [get_ports {led[8]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {led[8]}] -#set_property PACKAGE_PIN V3 [get_ports {led[9]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {led[9]}] -#set_property PACKAGE_PIN W3 [get_ports {led[10]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {led[10]}] -#set_property PACKAGE_PIN U3 [get_ports {led[11]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {led[11]}] -#set_property PACKAGE_PIN P3 [get_ports {led[12]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {led[12]}] -#set_property PACKAGE_PIN N3 [get_ports {led[13]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {led[13]}] -#set_property PACKAGE_PIN P1 [get_ports {led[14]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {led[14]}] -#set_property PACKAGE_PIN L1 [get_ports {led[15]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {led[15]}] - - -##7 segment display -#set_property PACKAGE_PIN W7 [get_ports {seg[0]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {seg[0]}] -#set_property PACKAGE_PIN W6 [get_ports {seg[1]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {seg[1]}] -#set_property PACKAGE_PIN U8 [get_ports {seg[2]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {seg[2]}] -#set_property PACKAGE_PIN V8 [get_ports {seg[3]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {seg[3]}] -#set_property PACKAGE_PIN U5 [get_ports {seg[4]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {seg[4]}] -#set_property PACKAGE_PIN V5 [get_ports {seg[5]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {seg[5]}] -#set_property PACKAGE_PIN U7 [get_ports {seg[6]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {seg[6]}] - -#set_property PACKAGE_PIN V7 [get_ports dp] - #set_property IOSTANDARD LVCMOS33 [get_ports dp] - -#set_property PACKAGE_PIN U2 [get_ports {an[0]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {an[0]}] -#set_property PACKAGE_PIN U4 [get_ports {an[1]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {an[1]}] -#set_property PACKAGE_PIN V4 [get_ports {an[2]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {an[2]}] -#set_property PACKAGE_PIN W4 [get_ports {an[3]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {an[3]}] - - -##Buttons -#set_property PACKAGE_PIN U18 [get_ports btnC] - #set_property IOSTANDARD LVCMOS33 [get_ports btnC] -#set_property PACKAGE_PIN T18 [get_ports btnU] - #set_property IOSTANDARD LVCMOS33 [get_ports btnU] -#set_property PACKAGE_PIN W19 [get_ports btnL] - #set_property IOSTANDARD LVCMOS33 [get_ports btnL] -#set_property PACKAGE_PIN T17 [get_ports btnR] - #set_property IOSTANDARD LVCMOS33 [get_ports btnR] -#set_property PACKAGE_PIN U17 [get_ports btnD] - #set_property IOSTANDARD LVCMOS33 [get_ports btnD] - - - -##Pmod Header JA -##Sch name = JA1 -#set_property PACKAGE_PIN J1 [get_ports {JA[0]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JA[0]}] -##Sch name = JA2 -#set_property PACKAGE_PIN L2 [get_ports {JA[1]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JA[1]}] -##Sch name = JA3 -#set_property PACKAGE_PIN J2 [get_ports {JA[2]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JA[2]}] -##Sch name = JA4 -#set_property PACKAGE_PIN G2 [get_ports {JA[3]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JA[3]}] -##Sch name = JA7 -#set_property PACKAGE_PIN H1 [get_ports {JA[4]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JA[4]}] -##Sch name = JA8 -#set_property PACKAGE_PIN K2 [get_ports {JA[5]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JA[5]}] -##Sch name = JA9 -#set_property PACKAGE_PIN H2 [get_ports {JA[6]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JA[6]}] -##Sch name = JA10 -#set_property PACKAGE_PIN G3 [get_ports {JA[7]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JA[7]}] - - - -##Pmod Header JB -##Sch name = JB1 -#set_property PACKAGE_PIN A14 [get_ports {JB[0]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JB[0]}] -##Sch name = JB2 -#set_property PACKAGE_PIN A16 [get_ports {JB[1]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JB[1]}] -##Sch name = JB3 -#set_property PACKAGE_PIN B15 [get_ports {JB[2]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JB[2]}] -##Sch name = JB4 -#set_property PACKAGE_PIN B16 [get_ports {JB[3]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JB[3]}] -##Sch name = JB7 -#set_property PACKAGE_PIN A15 [get_ports {JB[4]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JB[4]}] -##Sch name = JB8 -#set_property PACKAGE_PIN A17 [get_ports {JB[5]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JB[5]}] -##Sch name = JB9 -#set_property PACKAGE_PIN C15 [get_ports {JB[6]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JB[6]}] -##Sch name = JB10 -#set_property PACKAGE_PIN C16 [get_ports {JB[7]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JB[7]}] - - - -##Pmod Header JC -##Sch name = JC1 -#set_property PACKAGE_PIN K17 [get_ports {JC[0]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JC[0]}] -##Sch name = JC2 -#set_property PACKAGE_PIN M18 [get_ports {JC[1]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JC[1]}] -##Sch name = JC3 -#set_property PACKAGE_PIN N17 [get_ports {JC[2]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JC[2]}] -##Sch name = JC4 -#set_property PACKAGE_PIN P18 [get_ports {JC[3]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JC[3]}] -##Sch name = JC7 -#set_property PACKAGE_PIN L17 [get_ports {JC[4]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JC[4]}] -##Sch name = JC8 -#set_property PACKAGE_PIN M19 [get_ports {JC[5]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JC[5]}] -##Sch name = JC9 -#set_property PACKAGE_PIN P17 [get_ports {JC[6]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JC[6]}] -##Sch name = JC10 -#set_property PACKAGE_PIN R18 [get_ports {JC[7]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JC[7]}] - - -##Pmod Header JXADC -##Sch name = XA1_P -#set_property PACKAGE_PIN J3 [get_ports {JXADC[0]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[0]}] -##Sch name = XA2_P -#set_property PACKAGE_PIN L3 [get_ports {JXADC[1]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[1]}] -##Sch name = XA3_P -#set_property PACKAGE_PIN M2 [get_ports {JXADC[2]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[2]}] -##Sch name = XA4_P -#set_property PACKAGE_PIN N2 [get_ports {JXADC[3]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[3]}] -##Sch name = XA1_N -#set_property PACKAGE_PIN K3 [get_ports {JXADC[4]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[4]}] -##Sch name = XA2_N -#set_property PACKAGE_PIN M3 [get_ports {JXADC[5]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[5]}] -##Sch name = XA3_N -#set_property PACKAGE_PIN M1 [get_ports {JXADC[6]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[6]}] -##Sch name = XA4_N -#set_property PACKAGE_PIN N1 [get_ports {JXADC[7]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[7]}] - - - -##VGA Connector -#set_property PACKAGE_PIN G19 [get_ports {vgaRed[0]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[0]}] -#set_property PACKAGE_PIN H19 [get_ports {vgaRed[1]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[1]}] -#set_property PACKAGE_PIN J19 [get_ports {vgaRed[2]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[2]}] -#set_property PACKAGE_PIN N19 [get_ports {vgaRed[3]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[3]}] -#set_property PACKAGE_PIN N18 [get_ports {vgaBlue[0]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[0]}] -#set_property PACKAGE_PIN L18 [get_ports {vgaBlue[1]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[1]}] -#set_property PACKAGE_PIN K18 [get_ports {vgaBlue[2]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[2]}] -#set_property PACKAGE_PIN J18 [get_ports {vgaBlue[3]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[3]}] -#set_property PACKAGE_PIN J17 [get_ports {vgaGreen[0]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[0]}] -#set_property PACKAGE_PIN H17 [get_ports {vgaGreen[1]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[1]}] -#set_property PACKAGE_PIN G17 [get_ports {vgaGreen[2]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[2]}] -#set_property PACKAGE_PIN D17 [get_ports {vgaGreen[3]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[3]}] -#set_property PACKAGE_PIN P19 [get_ports Hsync] - #set_property IOSTANDARD LVCMOS33 [get_ports Hsync] -#set_property PACKAGE_PIN R19 [get_ports Vsync] - #set_property IOSTANDARD LVCMOS33 [get_ports Vsync] - - -##USB-RS232 Interface -#set_property PACKAGE_PIN B18 [get_ports RsRx] - #set_property IOSTANDARD LVCMOS33 [get_ports RsRx] -#set_property PACKAGE_PIN A18 [get_ports RsTx] - #set_property IOSTANDARD LVCMOS33 [get_ports RsTx] - - -##USB HID (PS/2) -#set_property PACKAGE_PIN C17 [get_ports PS2Clk] - #set_property IOSTANDARD LVCMOS33 [get_ports PS2Clk] - #set_property PULLUP true [get_ports PS2Clk] -#set_property PACKAGE_PIN B17 [get_ports PS2Data] - #set_property IOSTANDARD LVCMOS33 [get_ports PS2Data] - #set_property PULLUP true [get_ports PS2Data] - - -##Quad SPI Flash -##Note that CCLK_0 cannot be placed in 7 series devices. You can access it using the -##STARTUPE2 primitive. -#set_property PACKAGE_PIN D18 [get_ports {QspiDB[0]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[0]}] -#set_property PACKAGE_PIN D19 [get_ports {QspiDB[1]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[1]}] -#set_property PACKAGE_PIN G18 [get_ports {QspiDB[2]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[2]}] -#set_property PACKAGE_PIN F18 [get_ports {QspiDB[3]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[3]}] -#set_property PACKAGE_PIN K19 [get_ports QspiCSn] - #set_property IOSTANDARD LVCMOS33 [get_ports QspiCSn] diff --git a/LAB2/src/lab_2/hdl/lab_2_wrapper.vhd b/LAB2/src/lab_2/hdl/lab_2_wrapper.vhd index 499c879..bc23f0b 100644 --- a/LAB2/src/lab_2/hdl/lab_2_wrapper.vhd +++ b/LAB2/src/lab_2/hdl/lab_2_wrapper.vhd @@ -1,7 +1,7 @@ --Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2020.2 (win64) Build 3064766 Wed Nov 18 09:12:45 MST 2020 ---Date : Mon Mar 31 15:19:19 2025 +--Date : Wed Apr 9 11:36:10 2025 --Host : Davide-Samsung running 64-bit major release (build 9200) --Command : generate_target lab_2_wrapper.bd --Design : lab_2_wrapper @@ -26,11 +26,11 @@ end lab_2_wrapper; architecture STRUCTURE of lab_2_wrapper is component lab_2 is port ( - reset : in STD_LOGIC; - sys_clock : in STD_LOGIC; led_of : out STD_LOGIC; led_ok : out STD_LOGIC; led_uf : out STD_LOGIC; + sys_clock : in STD_LOGIC; + reset : in STD_LOGIC; usb_uart_txd : out STD_LOGIC; usb_uart_rxd : in STD_LOGIC ); diff --git a/LAB2/src/lab_2/lab_2.bd b/LAB2/src/lab_2/lab_2.bd index 4c808c0..22ed7a5 100644 --- a/LAB2/src/lab_2/lab_2.bd +++ b/LAB2/src/lab_2/lab_2.bd @@ -5,7 +5,7 @@ "device": "xc7a35tcpg236-1", "name": "lab_2", "rev_ctrl_bd_flag": "RevCtrlBdOff", - "synth_flow_mode": "Hierarchical", + "synth_flow_mode": "None", "tool_version": "2020.2", "validated": "true" }, @@ -18,10 +18,10 @@ "img_conv_0": "", "led_blinker_1": "", "led_blinker_2": "", + "system_ila_0": "", "clk_wiz_0": "", - "proc_sys_reset_0": "", - "AXI4Stream_UART_0": "", - "system_ila_0": "" + "proc_sys_reset_1": "", + "AXI4Stream_UART_0": "" }, "interface_ports": { "usb_uart": { @@ -30,18 +30,14 @@ } }, "ports": { - "reset": { - "type": "rst", - "direction": "I", - "parameters": { - "INSERT_VIP": { - "value": "0", - "value_src": "default" - }, - "POLARITY": { - "value": "ACTIVE_HIGH" - } - } + "led_of": { + "direction": "O" + }, + "led_ok": { + "direction": "O" + }, + "led_uf": { + "direction": "O" }, "sys_clock": { "type": "clk", @@ -63,19 +59,22 @@ "value_src": "default" }, "PHASE": { - "value": "0.000", - "value_src": "default" + "value": "0.000" } } }, - "led_of": { - "direction": "O" - }, - "led_ok": { - "direction": "O" - }, - "led_uf": { - "direction": "O" + "reset": { + "type": "rst", + "direction": "I", + "parameters": { + "INSERT_VIP": { + "value": "0", + "value_src": "default" + }, + "POLARITY": { + "value": "ACTIVE_HIGH" + } + } } }, "components": { @@ -1080,29 +1079,6 @@ } } }, - "clk_wiz_0": { - "vlnv": "xilinx.com:ip:clk_wiz:6.0", - "xci_name": "lab_2_clk_wiz_0_0", - "xci_path": "ip\\lab_2_clk_wiz_0_0\\lab_2_clk_wiz_0_0.xci", - "inst_hier_path": "clk_wiz_0" - }, - "proc_sys_reset_0": { - "vlnv": "xilinx.com:ip:proc_sys_reset:5.0", - "xci_name": "lab_2_proc_sys_reset_0_0", - "xci_path": "ip\\lab_2_proc_sys_reset_0_0\\lab_2_proc_sys_reset_0_0.xci", - "inst_hier_path": "proc_sys_reset_0", - "parameters": { - "C_AUX_RESET_HIGH": { - "value": "0" - } - } - }, - "AXI4Stream_UART_0": { - "vlnv": "DigiLAB:ip:AXI4Stream_UART:1.1", - "xci_name": "lab_2_AXI4Stream_UART_0_0", - "xci_path": "ip\\lab_2_AXI4Stream_UART_0_0\\lab_2_AXI4Stream_UART_0_0.xci", - "inst_hier_path": "AXI4Stream_UART_0" - }, "system_ila_0": { "vlnv": "xilinx.com:ip:system_ila:1.1", "xci_name": "lab_2_system_ila_0_1", @@ -1145,6 +1121,51 @@ "vlnv": "xilinx.com:interface:axis_rtl:1.0" } } + }, + "clk_wiz_0": { + "vlnv": "xilinx.com:ip:clk_wiz:6.0", + "xci_name": "lab_2_clk_wiz_0_1", + "xci_path": "ip\\lab_2_clk_wiz_0_1\\lab_2_clk_wiz_0_1.xci", + "inst_hier_path": "clk_wiz_0", + "parameters": { + "CLK_IN1_BOARD_INTERFACE": { + "value": "sys_clock" + }, + "RESET_BOARD_INTERFACE": { + "value": "reset" + }, + "USE_BOARD_FLOW": { + "value": "true" + } + } + }, + "proc_sys_reset_1": { + "vlnv": "xilinx.com:ip:proc_sys_reset:5.0", + "xci_name": "lab_2_proc_sys_reset_1_1", + "xci_path": "ip\\lab_2_proc_sys_reset_1_1\\lab_2_proc_sys_reset_1_1.xci", + "inst_hier_path": "proc_sys_reset_1", + "parameters": { + "RESET_BOARD_INTERFACE": { + "value": "reset" + }, + "USE_BOARD_FLOW": { + "value": "true" + } + } + }, + "AXI4Stream_UART_0": { + "vlnv": "DigiLAB:ip:AXI4Stream_UART:1.1", + "xci_name": "lab_2_AXI4Stream_UART_0_2", + "xci_path": "ip\\lab_2_AXI4Stream_UART_0_2\\lab_2_AXI4Stream_UART_0_2.xci", + "inst_hier_path": "AXI4Stream_UART_0", + "parameters": { + "UART_BOARD_INTERFACE": { + "value": "usb_uart" + }, + "USE_BOARD_FLOW": { + "value": "true" + } + } } }, "interface_nets": { @@ -1167,10 +1188,11 @@ "system_ila_0/SLOT_0_AXIS" ] }, - "AXI4Stream_UART_0_UART": { + "rgb2gray_0_m_axis": { "interface_ports": [ - "usb_uart", - "AXI4Stream_UART_0/UART" + "rgb2gray_0/m_axis", + "bram_writer_0/s_axis", + "system_ila_0/SLOT_2_AXIS" ] }, "img_conv_0_m_axis": { @@ -1180,61 +1202,35 @@ "system_ila_0/SLOT_1_AXIS" ] }, - "rgb2gray_0_m_axis": { + "AXI4Stream_UART_0_UART": { "interface_ports": [ - "rgb2gray_0/m_axis", - "bram_writer_0/s_axis", - "system_ila_0/SLOT_2_AXIS" + "usb_uart", + "AXI4Stream_UART_0/UART" ] } }, "nets": { - "reset_1": { - "ports": [ - "reset", - "proc_sys_reset_0/ext_reset_in", - "clk_wiz_0/reset" - ] - }, - "sys_clock_1": { - "ports": [ - "sys_clock", - "clk_wiz_0/clk_in1" - ] - }, "clk_wiz_0_clk_out1": { "ports": [ "clk_wiz_0/clk_out1", - "proc_sys_reset_0/slowest_sync_clk", "img_conv_0/clk", "packetizer_0/clk", - "AXI4Stream_UART_0/clk_uart", - "AXI4Stream_UART_0/m00_axis_rx_aclk", - "AXI4Stream_UART_0/s00_axis_tx_aclk", "depacketizer_0/clk", "rgb2gray_0/clk", "led_blinker_0/clk", "led_blinker_1/clk", "led_blinker_2/clk", "bram_writer_0/clk", - "system_ila_0/clk" - ] - }, - "clk_wiz_0_locked": { - "ports": [ - "clk_wiz_0/locked", - "proc_sys_reset_0/dcm_locked" - ] - }, - "proc_sys_reset_0_peripheral_reset": { - "ports": [ - "proc_sys_reset_0/peripheral_reset", - "AXI4Stream_UART_0/rst" + "system_ila_0/clk", + "proc_sys_reset_1/slowest_sync_clk", + "AXI4Stream_UART_0/clk_uart", + "AXI4Stream_UART_0/m00_axis_rx_aclk", + "AXI4Stream_UART_0/s00_axis_tx_aclk" ] }, "proc_sys_reset_0_peripheral_aresetn": { "ports": [ - "proc_sys_reset_0/peripheral_aresetn", + "proc_sys_reset_1/peripheral_aresetn", "img_conv_0/aresetn", "packetizer_0/aresetn", "depacketizer_0/aresetn", @@ -1243,9 +1239,9 @@ "led_blinker_1/aresetn", "led_blinker_2/aresetn", "bram_writer_0/aresetn", + "system_ila_0/resetn", "AXI4Stream_UART_0/m00_axis_rx_aresetn", - "AXI4Stream_UART_0/s00_axis_tx_aresetn", - "system_ila_0/resetn" + "AXI4Stream_UART_0/s00_axis_tx_aresetn" ] }, "bram_writer_0_conv_data": { @@ -1311,6 +1307,31 @@ "led_blinker_2/led", "led_of" ] + }, + "sys_clock_1": { + "ports": [ + "sys_clock", + "clk_wiz_0/clk_in1" + ] + }, + "clk_wiz_0_locked": { + "ports": [ + "clk_wiz_0/locked", + "proc_sys_reset_1/dcm_locked" + ] + }, + "reset_1": { + "ports": [ + "reset", + "proc_sys_reset_1/ext_reset_in", + "clk_wiz_0/reset" + ] + }, + "proc_sys_reset_1_peripheral_reset": { + "ports": [ + "proc_sys_reset_1/peripheral_reset", + "AXI4Stream_UART_0/rst" + ] } } } diff --git a/LAB2/vivado/lab2/lab2.xpr b/LAB2/vivado/lab2/lab2.xpr index 2f27e83..6f047fd 100644 --- a/LAB2/vivado/lab2/lab2.xpr +++ b/LAB2/vivado/lab2/lab2.xpr @@ -32,7 +32,7 @@