From 079d1ab0d5877e6a3bf5f655ecc3885bdfeaadf3 Mon Sep 17 00:00:00 2001 From: Davide Date: Sun, 11 May 2025 23:43:59 +0200 Subject: [PATCH 1/3] Add IPs --- LAB3/ip/axi4-stream-dual-i2s/component.xml | 685 ++++++++++++++++ .../axi4-stream-dual-i2s/hdl/axis_dual_i2s.v | 159 ++++ .../hdl/axis_dual_i2s_wrapper.v | 175 ++++ .../xgui/axis_dual_i2s_v1_0.tcl | 12 + LAB3/ip/axi4-stream-spi-master/README.md | 35 + .../axi4-stream-spi-master/cocotb/.gitignore | 130 +++ .../ip/axi4-stream-spi-master/cocotb/Makefile | 20 + .../cocotb/tester_axis_lw_spi_master.py | 82 ++ .../cocotb/waveforms.gtkw | 53 ++ LAB3/ip/axi4-stream-spi-master/component.xml | 761 ++++++++++++++++++ .../hdl/axis_lw_spi_master.vhd | 104 +++ .../hdl/ipi_axis_lw_spi_master.vhd | 103 +++ .../hdl/spi_master_lightweight/README.md | 3 + ...eight SPI Master IP for Low Cost FPGAs.pdf | Bin 0 -> 486100 bytes .../hdl/spi_master_lightweight/lic/lgpl.txt | 165 ++++ .../rtl/lw_spi_master.vhd | 263 ++++++ .../spi_master_lightweight/rtl/spi_master.vhd | 315 ++++++++ .../spi_master_lightweight/sim/Testbench1.PNG | Bin 0 -> 69606 bytes .../sim/Testbench_simulation.PNG | Bin 0 -> 190720 bytes .../sim/tb_lw_spi_master.vhd | 233 ++++++ .../xgui/axi4stream_spi_master_v1_0.tcl | 74 ++ 21 files changed, 3372 insertions(+) create mode 100644 LAB3/ip/axi4-stream-dual-i2s/component.xml create mode 100644 LAB3/ip/axi4-stream-dual-i2s/hdl/axis_dual_i2s.v create mode 100644 LAB3/ip/axi4-stream-dual-i2s/hdl/axis_dual_i2s_wrapper.v create mode 100644 LAB3/ip/axi4-stream-dual-i2s/xgui/axis_dual_i2s_v1_0.tcl create mode 100644 LAB3/ip/axi4-stream-spi-master/README.md create mode 100644 LAB3/ip/axi4-stream-spi-master/cocotb/.gitignore create mode 100644 LAB3/ip/axi4-stream-spi-master/cocotb/Makefile create mode 100644 LAB3/ip/axi4-stream-spi-master/cocotb/tester_axis_lw_spi_master.py create mode 100644 LAB3/ip/axi4-stream-spi-master/cocotb/waveforms.gtkw create mode 100644 LAB3/ip/axi4-stream-spi-master/component.xml create mode 100644 LAB3/ip/axi4-stream-spi-master/hdl/axis_lw_spi_master.vhd create mode 100644 LAB3/ip/axi4-stream-spi-master/hdl/ipi_axis_lw_spi_master.vhd create mode 100644 LAB3/ip/axi4-stream-spi-master/hdl/spi_master_lightweight/README.md create mode 100644 LAB3/ip/axi4-stream-spi-master/hdl/spi_master_lightweight/doc/Design and Implementation of a Lightweight SPI Master IP for Low Cost FPGAs.pdf create mode 100644 LAB3/ip/axi4-stream-spi-master/hdl/spi_master_lightweight/lic/lgpl.txt create mode 100644 LAB3/ip/axi4-stream-spi-master/hdl/spi_master_lightweight/rtl/lw_spi_master.vhd create mode 100644 LAB3/ip/axi4-stream-spi-master/hdl/spi_master_lightweight/rtl/spi_master.vhd create mode 100644 LAB3/ip/axi4-stream-spi-master/hdl/spi_master_lightweight/sim/Testbench1.PNG create mode 100644 LAB3/ip/axi4-stream-spi-master/hdl/spi_master_lightweight/sim/Testbench_simulation.PNG create mode 100644 LAB3/ip/axi4-stream-spi-master/hdl/spi_master_lightweight/sim/tb_lw_spi_master.vhd create mode 100644 LAB3/ip/axi4-stream-spi-master/xgui/axi4stream_spi_master_v1_0.tcl diff --git a/LAB3/ip/axi4-stream-dual-i2s/component.xml b/LAB3/ip/axi4-stream-dual-i2s/component.xml new file mode 100644 index 0000000..25de804 --- /dev/null +++ b/LAB3/ip/axi4-stream-dual-i2s/component.xml @@ -0,0 +1,685 @@ + + + DigiLAB + ip + axis_dual_i2s + 1.0 + + + m_axis + + + + + + + TDATA + + + m_axis_tdata + + + + + TLAST + + + m_axis_tlast + + + + + TVALID + + + m_axis_tvalid + + + + + TREADY + + + m_axis_tready + + + + + + s_axis + + + + + + + TDATA + + + s_axis_tdata + + + + + TLAST + + + s_axis_tlast + + + + + TVALID + + + s_axis_tvalid + + + + + TREADY + + + s_axis_tready + + + + + + aresetn + + + + + + + RST + + + aresetn + + + + + + POLARITY + ACTIVE_LOW + + + + + i2s_resetn + + + + + + + RST + + + i2s_resetn + + + + + + POLARITY + ACTIVE_LOW + + + + + aclk + + + + + + + CLK + + + aclk + + + + + + ASSOCIATED_BUSIF + m_axis:s_axis + + + ASSOCIATED_RESET + aresetn + + + + + i2s_clk + + + + + + + CLK + + + i2s_clk + + + + + + ASSOCIATED_RESET + i2s_resetn + + + + + + + + xilinx_anylanguagesynthesis + Synthesis + :vivado.xilinx.com:synthesis + Verilog + axis_i2s_wrapper + + xilinx_anylanguagesynthesis_view_fileset + + + + viewChecksum + 4daa8100 + + + + + xilinx_anylanguagebehavioralsimulation + Simulation + :vivado.xilinx.com:simulation + Verilog + axis_i2s_wrapper + + xilinx_anylanguagebehavioralsimulation_view_fileset + + + + viewChecksum + 4daa8100 + + + + + xilinx_xpgui + UI Layout + :vivado.xilinx.com:xgui.ui + + xilinx_xpgui_view_fileset + + + + viewChecksum + f6c69e0f + + + + + + + i2s_clk + + in + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + i2s_resetn + + in + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + aclk + + in + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + aresetn + + in + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + s_axis_tdata + + in + + 23 + 0 + + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + 0 + + + + + s_axis_tvalid + + in + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + s_axis_tready + + out + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + s_axis_tlast + + in + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + 0 + + + + + m_axis_tdata + + out + + 23 + 0 + + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + m_axis_tvalid + + out + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + m_axis_tready + + in + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + 1 + + + + + m_axis_tlast + + out + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + tx_mclk + + out + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + tx_lrck + + out + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + tx_sclk + + out + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + tx_sdout + + out + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + rx_mclk + + out + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + rx_lrck + + out + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + rx_sclk + + out + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + rx_sdin + + in + + + wire + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + + + + choice_list_9d8b0d81 + ACTIVE_HIGH + ACTIVE_LOW + + + + + xilinx_anylanguagesynthesis_view_fileset + + hdl/axis_dual_i2s.v + verilogSource + + + hdl/axis_dual_i2s_wrapper.v + verilogSource + CHECKSUM_f786a01c + + + + xilinx_anylanguagebehavioralsimulation_view_fileset + + hdl/axis_dual_i2s.v + verilogSource + + + hdl/axis_dual_i2s_wrapper.v + verilogSource + + + + xilinx_xpgui_view_fileset + + xgui/axis_dual_i2s_v1_0.tcl + tclSource + CHECKSUM_f6c69e0f + XGUI_VERSION_2 + + + + AXI4-Stream to Dual I2S + + + Component_Name + axis_i2s_wrapper_v1_0 + + + + + + virtex7 + qvirtex7 + versal + kintex7 + kintex7l + qkintex7 + qkintex7l + akintex7 + artix7 + artix7l + aartix7 + qartix7 + zynq + qzynq + azynq + spartan7 + aspartan7 + virtexuplus + virtexuplusHBM + kintexuplus + zynquplus + kintexu + + + /Communication_&_Networking/Serial_Interfaces + + AXI4-Stream to Dual I2S + package_project + + XPM_FIFO + + 3 + + user.org:user:axis_i2s_wrapper:1.0 + + 2022-05-09T16:06:21Z + + + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + /home/nicola/Documents/Vivado/IPs_DESD/ip_repo/axi4-stream-dual-i2s + + + + 2020.2 + + + + + + + diff --git a/LAB3/ip/axi4-stream-dual-i2s/hdl/axis_dual_i2s.v b/LAB3/ip/axi4-stream-dual-i2s/hdl/axis_dual_i2s.v new file mode 100644 index 0000000..f9605eb --- /dev/null +++ b/LAB3/ip/axi4-stream-dual-i2s/hdl/axis_dual_i2s.v @@ -0,0 +1,159 @@ +`timescale 1ns / 1ps +`default_nettype none +////////////////////////////////////////////////////////////////////////////////// +// Company: Digilent & Politecnico di Milano +// Engineer: Arthur Brown, Nicola Corna, Fabio Garzetti, Nicola Lusardi +// +// Create Date: 14/05/2019 +// Module Name: axis_i2s +// Description: AXI-Stream I2S controller +// Generates clocks and select signals required to place each of the ICs on the Pmod I2S2 into slave mode. +// Data is 24-bit, shifted one serial clock right from the LRCK boundaries. +// This module only supports 44.1KHz sample rate, and expects the frequency of axis_clk to be approx 22.591MHz. +// At the end of each I2S frame, a 2-word packet is made available on the AXIS master interface. Further packets will be discarded +// until the current packet is accepted by an AXIS slave. +// Whenever a 2-word packet is received on the AXIS slave interface, it is transmitted over the I2S interface on the next frame. +// Each packet consists of two 3-byte words, starting with left audio channel data, followed by right channel data. +// +// Revision: +// Revision 0.01 - File Created +// Revision 0.02 - Use 24-bit interfaces +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// + +module axis_dual_i2s ( + input wire axis_clk, // require: approx 22.591MHz + input wire axis_resetn, + + input wire [23:0] tx_axis_s_data, + input wire tx_axis_s_valid, + output reg tx_axis_s_ready = 1'b0, + input wire tx_axis_s_last, + + output wire [23:0] rx_axis_m_data, + output reg rx_axis_m_valid = 1'b0, + input wire rx_axis_m_ready, + output reg rx_axis_m_last = 1'b0, + + output wire tx_mclk, + output wire tx_lrck, + output wire tx_sclk, + output reg tx_sdout, + output wire rx_mclk, + output wire rx_lrck, + output wire rx_sclk, + input wire rx_sdin +); + reg [8:0] count = 9'd0; + localparam EOF_COUNT = 9'd455; // end of full I2S frame + + always@(posedge axis_clk) + count <= count + 1; + + wire lrck = count[8]; + wire sclk = count[2]; + wire mclk = axis_clk; + assign tx_lrck = lrck; + assign tx_sclk = sclk; + assign tx_mclk = mclk; + assign rx_lrck = lrck; + assign rx_sclk = sclk; + assign rx_mclk = mclk; + + /* AXIS SLAVE CONTROLLER */ + reg [23:0] tx_data_l = 0; + reg [23:0] tx_data_r = 0; + + always@(posedge axis_clk) + if (axis_resetn == 1'b0) + tx_axis_s_ready <= 1'b0; + else if (tx_axis_s_ready == 1'b1 && tx_axis_s_valid == 1'b1 && tx_axis_s_last == 1'b1) // end of packet, cannot accept data until current one has been transmitted + tx_axis_s_ready <= 1'b0; + else if (count == 9'b0) // beginning of I2S frame, in order to avoid tearing, cannot accept data until frame complete + tx_axis_s_ready <= 1'b0; + else if (count == EOF_COUNT) // end of I2S frame, can accept data + tx_axis_s_ready <= 1'b1; + + always@(posedge axis_clk) + if (axis_resetn == 1'b0) begin + tx_data_r <= 24'b0; + tx_data_l <= 24'b0; + end else if (tx_axis_s_valid == 1'b1 && tx_axis_s_ready == 1'b1) + if (tx_axis_s_last == 1'b1) + tx_data_r <= tx_axis_s_data; + else + tx_data_l <= tx_axis_s_data; + + /* I2S TRANSMIT SHIFT REGISTERS */ + reg [23:0] tx_data_l_shift = 24'b0; + reg [23:0] tx_data_r_shift = 24'b0; + + always@(posedge axis_clk) + if (count == 3'b000000111) begin + tx_data_l_shift <= tx_data_l[23:0]; + tx_data_r_shift <= tx_data_r[23:0]; + end else if (count[2:0] == 3'b111 && count[7:3] >= 5'd1 && count[7:3] <= 5'd24) begin + if (count[8] == 1'b1) + tx_data_r_shift <= {tx_data_r_shift[22:0], 1'b0}; + else + tx_data_l_shift <= {tx_data_l_shift[22:0], 1'b0}; + end + + always@(count, tx_data_l_shift, tx_data_r_shift) + if (count[7:3] <= 5'd24 && count[7:3] >= 4'd1) + if (count[8] == 1'b1) + tx_sdout = tx_data_r_shift[23]; + else + tx_sdout = tx_data_l_shift[23]; + else + tx_sdout = 1'b0; + + /* SYNCHRONIZE DATA IN TO AXIS CLOCK DOMAIN */ + reg [2:0] din_sync_shift = 3'd0; + wire din_sync = din_sync_shift[2]; + always@(posedge axis_clk) + din_sync_shift <= {din_sync_shift[1:0], rx_sdin}; + + /* I2S RECEIVE SHIFT REGISTERS */ + reg [23:0] rx_data_l_shift = 24'b0; + reg [23:0] rx_data_r_shift = 24'b0; + always@(posedge axis_clk) + if (count[2:0] == 3'b011 && count[7:3] <= 5'd24 && count[7:3] >= 5'd1) + if (lrck == 1'b1) + rx_data_r_shift <= {rx_data_r_shift, din_sync}; + else + rx_data_l_shift <= {rx_data_l_shift, din_sync}; + + /* AXIS MASTER CONTROLLER */ + reg [23:0] rx_data_l = 24'b0; + reg [23:0] rx_data_r = 24'b0; + always@(posedge axis_clk) + if (axis_resetn == 1'b0) begin + rx_data_l <= 24'b0; + rx_data_r <= 24'b0; + end else if (count == EOF_COUNT && rx_axis_m_valid == 1'b0) begin + rx_data_l <= {8'b0, rx_data_l_shift}; + rx_data_r <= {8'b0, rx_data_r_shift}; + end + + assign rx_axis_m_data = (rx_axis_m_last == 1'b1) ? rx_data_r : rx_data_l; + + always@(posedge axis_clk) + if (axis_resetn == 1'b0) + rx_axis_m_valid <= 1'b0; + else if (count == EOF_COUNT && rx_axis_m_valid == 1'b0) + rx_axis_m_valid <= 1'b1; + else if (rx_axis_m_valid == 1'b1 && rx_axis_m_ready == 1'b1 && rx_axis_m_last == 1'b1) + rx_axis_m_valid <= 1'b0; + + always@(posedge axis_clk) + if (axis_resetn == 1'b0) + rx_axis_m_last <= 1'b0; + else if (count == EOF_COUNT && rx_axis_m_valid == 1'b0) + rx_axis_m_last <= 1'b0; + else if (rx_axis_m_valid == 1'b1 && rx_axis_m_ready == 1'b1) + rx_axis_m_last <= ~rx_axis_m_last; + + +endmodule diff --git a/LAB3/ip/axi4-stream-dual-i2s/hdl/axis_dual_i2s_wrapper.v b/LAB3/ip/axi4-stream-dual-i2s/hdl/axis_dual_i2s_wrapper.v new file mode 100644 index 0000000..8647cfd --- /dev/null +++ b/LAB3/ip/axi4-stream-dual-i2s/hdl/axis_dual_i2s_wrapper.v @@ -0,0 +1,175 @@ +`timescale 1ns / 1ps +`default_nettype none + +module axis_i2s_wrapper ( + input wire i2s_clk, // require: approx 22.591MHz + input wire i2s_resetn, + + input wire aclk, + input wire aresetn, + + input wire [23:0] s_axis_tdata, + input wire s_axis_tvalid, + output wire s_axis_tready, + input wire s_axis_tlast, + + output wire [23:0] m_axis_tdata, + output wire m_axis_tvalid, + input wire m_axis_tready, + output wire m_axis_tlast, + + output wire tx_mclk, + output wire tx_lrck, + output wire tx_sclk, + output wire tx_sdout, + output wire rx_mclk, + output wire rx_lrck, + output wire rx_sclk, + input wire rx_sdin +); + +wire [23:0] tx_axis_s_data; +wire tx_axis_s_valid; +wire tx_axis_s_ready; +wire tx_axis_s_last; + +wire [23:0] rx_axis_m_data; +wire rx_axis_m_valid; +wire rx_axis_m_ready; +wire rx_axis_m_last; + +xpm_fifo_axis #( + .CDC_SYNC_STAGES(2), + .CLOCKING_MODE("independent_clock"), + .ECC_MODE("no_ecc"), + .FIFO_DEPTH(1024), + .FIFO_MEMORY_TYPE("auto"), + .PACKET_FIFO("false"), + .PROG_EMPTY_THRESH(10), + .PROG_FULL_THRESH(10), + .RD_DATA_COUNT_WIDTH(1), + .RELATED_CLOCKS(0), + .SIM_ASSERT_CHK(1), + .TDATA_WIDTH(24), + .TDEST_WIDTH(1), + .TID_WIDTH(1), + .TUSER_WIDTH(1), + .USE_ADV_FEATURES("0000"), + .WR_DATA_COUNT_WIDTH(1) +) +rx_fifo ( + .s_aclk(aclk), + .s_aresetn(aresetn), + .s_axis_tvalid(s_axis_tvalid), + .s_axis_tready(s_axis_tready), + .s_axis_tdata(s_axis_tdata), + .s_axis_tlast(s_axis_tlast), + .s_axis_tdest(1'b0), + .s_axis_tid(1'b0), + .s_axis_tkeep(1'b111), + .s_axis_tstrb(1'b111), + .s_axis_tuser(1'b0), + + .m_aclk(i2s_clk), + .m_axis_tvalid(tx_axis_s_valid), + .m_axis_tready(tx_axis_s_ready), + .m_axis_tdata(tx_axis_s_data), + .m_axis_tlast(tx_axis_s_last), + .m_axis_tdest(), + .m_axis_tid(), + .m_axis_tkeep(), + .m_axis_tstrb(), + .m_axis_tuser(), + + .almost_empty_axis(), + .almost_full_axis(), + .dbiterr_axis(), + .prog_empty_axis(), + .prog_full_axis(), + .rd_data_count_axis(), + .sbiterr_axis(), + .wr_data_count_axis(), + .injectdbiterr_axis(1'b0), + .injectsbiterr_axis(1'b0) +); + +axis_dual_i2s axis_dual_i2s_inst ( + .axis_clk(i2s_clk), + .axis_resetn(i2s_resetn), + + .tx_axis_s_data(tx_axis_s_data), + .tx_axis_s_valid(tx_axis_s_valid), + .tx_axis_s_ready(tx_axis_s_ready), + .tx_axis_s_last(tx_axis_s_last), + + .rx_axis_m_data(rx_axis_m_data), + .rx_axis_m_valid(rx_axis_m_valid), + .rx_axis_m_ready(rx_axis_m_ready), + .rx_axis_m_last(rx_axis_m_last), + + .tx_mclk(tx_mclk), + .tx_lrck(tx_lrck), + .tx_sclk(tx_sclk), + .tx_sdout(tx_sdout), + .rx_mclk(rx_mclk), + .rx_lrck(rx_lrck), + .rx_sclk(rx_sclk), + .rx_sdin(rx_sdin) +); + +xpm_fifo_axis #( + .CDC_SYNC_STAGES(2), + .CLOCKING_MODE("independent_clock"), + .ECC_MODE("no_ecc"), + .FIFO_DEPTH(1024), + .FIFO_MEMORY_TYPE("auto"), + .PACKET_FIFO("false"), + .PROG_EMPTY_THRESH(10), + .PROG_FULL_THRESH(10), + .RD_DATA_COUNT_WIDTH(1), + .RELATED_CLOCKS(0), + .SIM_ASSERT_CHK(1), + .TDATA_WIDTH(24), + .TDEST_WIDTH(1), + .TID_WIDTH(1), + .TUSER_WIDTH(1), + .USE_ADV_FEATURES("0000"), + .WR_DATA_COUNT_WIDTH(1) +) +tx_fifo ( + .s_aclk(i2s_clk), + .s_aresetn(i2s_resetn), + .s_axis_tvalid(rx_axis_m_valid), + .s_axis_tready(rx_axis_m_ready), + .s_axis_tdata(rx_axis_m_data), + .s_axis_tlast(rx_axis_m_last), + .s_axis_tdest(1'b0), + .s_axis_tid(1'b0), + .s_axis_tkeep(1'b111), + .s_axis_tstrb(1'b111), + .s_axis_tuser(1'b0), + + .m_aclk(aclk), + .m_axis_tvalid(m_axis_tvalid), + .m_axis_tready(m_axis_tready), + .m_axis_tdata(m_axis_tdata), + .m_axis_tlast(m_axis_tlast), + .m_axis_tdest(), + .m_axis_tid(), + .m_axis_tkeep(), + .m_axis_tstrb(), + .m_axis_tuser(), + + .almost_empty_axis(), + .almost_full_axis(), + .dbiterr_axis(), + .prog_empty_axis(), + .prog_full_axis(), + .rd_data_count_axis(), + .sbiterr_axis(), + .wr_data_count_axis(), + .injectdbiterr_axis(1'b0), + .injectsbiterr_axis(1'b0) +); + +endmodule diff --git a/LAB3/ip/axi4-stream-dual-i2s/xgui/axis_dual_i2s_v1_0.tcl b/LAB3/ip/axi4-stream-dual-i2s/xgui/axis_dual_i2s_v1_0.tcl new file mode 100644 index 0000000..bf8ae02 --- /dev/null +++ b/LAB3/ip/axi4-stream-dual-i2s/xgui/axis_dual_i2s_v1_0.tcl @@ -0,0 +1,12 @@ +# Definitional proc to organize widgets for parameters. +proc init_gui { IPINST } { + ipgui::add_param $IPINST -name "Component_Name" + #Adding Page + set Page_0 [ipgui::add_page $IPINST -name "Page 0"] + ipgui::add_static_text $IPINST -name "Warnings" -parent ${Page_0} -text {The jumper on the board MUST BE in position SLV. +The input clock axis_clk MUST BE 22.591 MHz.} + + +} + + diff --git a/LAB3/ip/axi4-stream-spi-master/README.md b/LAB3/ip/axi4-stream-spi-master/README.md new file mode 100644 index 0000000..a6eb213 --- /dev/null +++ b/LAB3/ip/axi4-stream-spi-master/README.md @@ -0,0 +1,35 @@ +# AXI4-Stream SPI Master + +This module implements an SPI Master. + +This module is based on the "SPI Master Lightweight" module on OpenCores, freely +downloadable from [here](https://opencores.org/projects/spi_master_lightweight), +with minimal modifications to add AXI4-Stream interfaces and reset signal. + +The cs signal is automatically asserted half clock cycle (SCLK) before the first +rising edge of SCLK (with CPOL=0 and CPHA=0) and deasserted half clock cycle +(SCLK) after the last falling edge of SCLK (with CPOL=0 and CPHA=0). + +## Generics + * c_clkfreq: aclk frequency (in Hz) + * c_sclkfreq: desired sclk frequency (in Hz); must be <= c_clkfreq/8 + * c_cpol: SPI CPOL + * c_cpha: SPI CPHA + +## Slave AXI4-Stream + +Data passed to this module through this interface are serialized and send +through the MOSI port, MSbit first. + +The CS signal will go low at the beginning of the transfer and will stay low +until this module has data to send. In other words, keep s_axis_tvalid high and +keep sending data if you want an uninterrupted transfer with CS always low. + +## Master AXI4-Stream + +Data received by this module will be sent through this interface. Note that this +interface lacks a tready signal. + +For how the SPI protocol works, data can be received only when data is +transmitted by the master so, if you want to receive N bytes, you have to send +N bytes (by writing on the Slave AXI4-Stream interface). diff --git a/LAB3/ip/axi4-stream-spi-master/cocotb/.gitignore b/LAB3/ip/axi4-stream-spi-master/cocotb/.gitignore new file mode 100644 index 0000000..3eb4d21 --- /dev/null +++ b/LAB3/ip/axi4-stream-spi-master/cocotb/.gitignore @@ -0,0 +1,130 @@ +# Byte-compiled / optimized / DLL files +__pycache__/ +*.py[cod] +*$py.class + +# C extensions +*.so + +# Distribution / packaging +.Python +build/ +develop-eggs/ +dist/ +downloads/ +eggs/ +.eggs/ +lib/ +lib64/ +parts/ +sdist/ +var/ +wheels/ +pip-wheel-metadata/ +share/python-wheels/ +*.egg-info/ +.installed.cfg +*.egg +MANIFEST + +# PyInstaller +# Usually these files are written by a python script from a template +# before PyInstaller builds the exe, so as to inject date/other infos into it. +*.manifest +*.spec + +# Installer logs +pip-log.txt +pip-delete-this-directory.txt + +# Unit test / coverage reports +htmlcov/ +.tox/ +.nox/ +.coverage +.coverage.* +.cache +nosetests.xml +coverage.xml +*.cover +.hypothesis/ +.pytest_cache/ + +# Translations +*.mo +*.pot + +# Django stuff: +*.log +local_settings.py +db.sqlite3 +db.sqlite3-journal + +# Flask stuff: +instance/ +.webassets-cache + +# Scrapy stuff: +.scrapy + +# Sphinx documentation +docs/_build/ + +# PyBuilder +target/ + +# Jupyter Notebook +.ipynb_checkpoints + +# IPython +profile_default/ +ipython_config.py + +# pyenv +.python-version + +# pipenv +# According to pypa/pipenv#598, it is recommended to include Pipfile.lock in version control. +# However, in case of collaboration, if having platform-specific dependencies or dependencies +# having no cross-platform support, pipenv may install dependencies that don't work, or not +# install all needed dependencies. +#Pipfile.lock + +# celery beat schedule file +celerybeat-schedule + +# SageMath parsed files +*.sage.py + +# Environments +.env +.venv +env/ +venv/ +ENV/ +env.bak/ +venv.bak/ + +# Spyder project settings +.spyderproject +.spyproject + +# Rope project settings +.ropeproject + +# mkdocs documentation +/site + +# mypy +.mypy_cache/ +.dmypy.json +dmypy.json + +# Pyre type checker +.pyre/ + +# Cocotb build folder +build/ + +# Cocotb results +results.xml diff --git a/LAB3/ip/axi4-stream-spi-master/cocotb/Makefile b/LAB3/ip/axi4-stream-spi-master/cocotb/Makefile new file mode 100644 index 0000000..934de38 --- /dev/null +++ b/LAB3/ip/axi4-stream-spi-master/cocotb/Makefile @@ -0,0 +1,20 @@ +export PYTHON_BIN=python3 + +PWD := $(shell pwd) + +SIM ?= ghdl +SIM_ARGS ?= --wave=$(PWD)/build/waveform.ghw -gc_clkfreq=100000000 -gc_sclkfreq=10000000 +GHDL_ARGS ?= -fsynopsys + +TOPLEVEL_LANG = vhdl + +SIM_BUILD = $(PWD)/build +MODULE = tester_axis_lw_spi_master +TOPLEVEL = axis_lw_spi_master + +HDL_DIR = $(PWD)/../hdl +VHDL_SOURCES = \ + $(HDL_DIR)/spi_master_lightweight/rtl/lw_spi_master.vhd \ + $(HDL_DIR)/axis_lw_spi_master.vhd + +include $(shell cocotb-config --makefiles)/Makefile.sim diff --git a/LAB3/ip/axi4-stream-spi-master/cocotb/tester_axis_lw_spi_master.py b/LAB3/ip/axi4-stream-spi-master/cocotb/tester_axis_lw_spi_master.py new file mode 100644 index 0000000..031fbc1 --- /dev/null +++ b/LAB3/ip/axi4-stream-spi-master/cocotb/tester_axis_lw_spi_master.py @@ -0,0 +1,82 @@ +#!/usr/bin/env python3 + +import secrets + +import cocotb +from cocotb.clock import Clock +from cocotb.triggers import RisingEdge, ClockCycles +from cocotbext.axi4stream.drivers import Axi4StreamMaster +from cocotbext.axi4stream.monitors import Axi4Stream +from cocotbext.spi import SpiSlaveBase, SpiSignals, SpiConfig + +CLK_PERIOD = 10 + + +class SimpleSpiSlave(SpiSlaveBase): + def __init__(self, signals, config, data): + self._config = config + self.content = 0 + self.data = data + super().__init__(signals) + + async def get_content(self): + await self.idle.wait() + return self.content + + async def _transaction(self, frame_start, frame_end): + await frame_start + self.idle.clear() + + self._miso.value = 1 if self.data[0] & 0x80 else 0 + self.content = int(await self._shift(len(self.data) * 8 - 1, tx_word=int.from_bytes(self.data, 'big'))) + await RisingEdge(self._sclk) + self.content = self.content << 1 | int(self._mosi.value.integer) + + await frame_end + + +async def setup_dut(dut): + cocotb.fork(Clock(dut.aclk, CLK_PERIOD, "ns").start()) + + +@cocotb.test() +async def test_spi(dut, length=32): + """TODO""" + + spi_signals = SpiSignals( + sclk = dut.sclk, + mosi = dut.mosi, + miso = dut.miso, + cs = dut.cs + ) + + spi_config = SpiConfig( + word_width = 8, + cpol = False, + cpha = False, + data_output_idle = 0, + msb_first = True + ) + + mosi_tx = secrets.randbits(length * 8).to_bytes(length, 'little') + miso_tx = secrets.randbits(length * 8).to_bytes(length, 'little') + spi_slave = SimpleSpiSlave(spi_signals, spi_config, miso_tx) + + miso_rx = bytearray() + + axis_m = Axi4StreamMaster(dut, "s_axis", dut.aclk) + axis_monitor = Axi4Stream(dut, "m_axis", dut.aclk, packets=False) + axis_monitor.add_callback(lambda data: miso_rx.extend(data)) + + await setup_dut(dut) + await ClockCycles(dut.aclk, 10) + + await axis_m.write([b for b in mosi_tx]) + + mosi_rx = (await spi_slave.get_content()).to_bytes(length, 'big') + + await ClockCycles(dut.aclk, 10) + + assert mosi_tx == mosi_rx, "Received MOSI data does not match transmitted one" + assert miso_tx == miso_rx, "Received MISO data does not match transmitted one" + diff --git a/LAB3/ip/axi4-stream-spi-master/cocotb/waveforms.gtkw b/LAB3/ip/axi4-stream-spi-master/cocotb/waveforms.gtkw new file mode 100644 index 0000000..3d9b2c1 --- /dev/null +++ b/LAB3/ip/axi4-stream-spi-master/cocotb/waveforms.gtkw @@ -0,0 +1,53 @@ +[*] +[*] GTKWave Analyzer v3.3.104 (w)1999-2020 BSI +[*] Fri Mar 25 16:24:27 2022 +[*] +[dumpfile] "/home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master/sim/build/waveform.ghw" +[dumpfile_mtime] "Fri Mar 25 16:23:35 2022" +[dumpfile_size] 3066 +[savefile] "/home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master/sim/waveforms.gtkw" +[timestart] 0 +[size] 1920 1001 +[pos] -27 -24 +*-27.176317 7190000000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] top. +[treeopen] top.axis_lw_spi_master. +[treeopen] top.axis_lw_spi_master.inst_lw_spi_master. +[sst_width] 281 +[signals_width] 200 +[sst_expanded] 1 +[sst_vpaned_height] 285 +@28 +top.axis_lw_spi_master.clk +@200 +- +@28 +top.axis_lw_spi_master.s_axis_tvalid +top.axis_lw_spi_master.s_axis_tready +@22 +#{top.axis_lw_spi_master.s_axis_tdata[7:0]} top.axis_lw_spi_master.s_axis_tdata[7] top.axis_lw_spi_master.s_axis_tdata[6] top.axis_lw_spi_master.s_axis_tdata[5] top.axis_lw_spi_master.s_axis_tdata[4] top.axis_lw_spi_master.s_axis_tdata[3] top.axis_lw_spi_master.s_axis_tdata[2] top.axis_lw_spi_master.s_axis_tdata[1] top.axis_lw_spi_master.s_axis_tdata[0] +@200 +- +@28 +top.axis_lw_spi_master.m_axis_tvalid +@22 +#{top.axis_lw_spi_master.m_axis_tdata[7:0]} top.axis_lw_spi_master.m_axis_tdata[7] top.axis_lw_spi_master.m_axis_tdata[6] top.axis_lw_spi_master.m_axis_tdata[5] top.axis_lw_spi_master.m_axis_tdata[4] top.axis_lw_spi_master.m_axis_tdata[3] top.axis_lw_spi_master.m_axis_tdata[2] top.axis_lw_spi_master.m_axis_tdata[1] top.axis_lw_spi_master.m_axis_tdata[0] +@200 +- +@28 +top.axis_lw_spi_master.inst_lw_spi_master.en_i +@22 +#{top.axis_lw_spi_master.inst_lw_spi_master.mosi_data_i[7:0]} top.axis_lw_spi_master.inst_lw_spi_master.mosi_data_i[7] top.axis_lw_spi_master.inst_lw_spi_master.mosi_data_i[6] top.axis_lw_spi_master.inst_lw_spi_master.mosi_data_i[5] top.axis_lw_spi_master.inst_lw_spi_master.mosi_data_i[4] top.axis_lw_spi_master.inst_lw_spi_master.mosi_data_i[3] top.axis_lw_spi_master.inst_lw_spi_master.mosi_data_i[2] top.axis_lw_spi_master.inst_lw_spi_master.mosi_data_i[1] top.axis_lw_spi_master.inst_lw_spi_master.mosi_data_i[0] +#{top.axis_lw_spi_master.inst_lw_spi_master.miso_data_o[7:0]} top.axis_lw_spi_master.inst_lw_spi_master.miso_data_o[7] top.axis_lw_spi_master.inst_lw_spi_master.miso_data_o[6] top.axis_lw_spi_master.inst_lw_spi_master.miso_data_o[5] top.axis_lw_spi_master.inst_lw_spi_master.miso_data_o[4] top.axis_lw_spi_master.inst_lw_spi_master.miso_data_o[3] top.axis_lw_spi_master.inst_lw_spi_master.miso_data_o[2] top.axis_lw_spi_master.inst_lw_spi_master.miso_data_o[1] top.axis_lw_spi_master.inst_lw_spi_master.miso_data_o[0] +@28 +top.axis_lw_spi_master.inst_lw_spi_master.data_ready_o +@200 +- +@28 +top.axis_lw_spi_master.sclk +top.axis_lw_spi_master.mosi +top.axis_lw_spi_master.miso +@29 +top.axis_lw_spi_master.cs +[pattern_trace] 1 +[pattern_trace] 0 diff --git a/LAB3/ip/axi4-stream-spi-master/component.xml b/LAB3/ip/axi4-stream-spi-master/component.xml new file mode 100644 index 0000000..653ba5f --- /dev/null +++ b/LAB3/ip/axi4-stream-spi-master/component.xml @@ -0,0 +1,761 @@ + + + DigiLAB + ip + axi4stream_spi_master + 1.0 + + + aclk + + + + + + + CLK + + + aclk + + + + + + ASSOCIATED_BUSIF + S_AXIS:M_AXIS + + + ASSOCIATED_RESET + + + + + + SPI_M + + + + + + + SCK_T + + + sclk_t + + + + + IO1_O + + + miso_o + + + + + SS_T + + + cs_t + + + + + IO0_O + + + mosi_o + + + + + SCK_I + + + sclk_i + + + + + SS_O + + + cs_o + + + + + IO0_T + + + mosi_t + + + + + IO1_T + + + miso_t + + + + + SCK_O + + + sclk_o + + + + + SS_I + + + cs_i + + + + + IO1_I + + + miso_i + + + + + IO0_I + + + mosi_i + + + + + + S_AXIS + + + + + + + TDATA + + + s_axis_tdata + + + + + TVALID + + + s_axis_tvalid + + + + + TREADY + + + s_axis_tready + + + + + + M_AXIS + + + + + + + TDATA + + + m_axis_tdata + + + + + TVALID + + + m_axis_tvalid + + + + + + aresetn + + + + + + + RST + + + aresetn + + + + + + POLARITY + ACTIVE_LOW + + + + + + + + xilinx_anylanguagesynthesis + Synthesis + :vivado.xilinx.com:synthesis + VHDL + ipi_axis_lw_spi_master + + xilinx_anylanguagesynthesis_view_fileset + + + + viewChecksum + 4adf0ae8 + + + + + xilinx_anylanguagebehavioralsimulation + Simulation + :vivado.xilinx.com:simulation + VHDL + ipi_axis_lw_spi_master + + xilinx_anylanguagebehavioralsimulation_view_fileset + + + + viewChecksum + 4adf0ae8 + + + + + xilinx_xpgui + UI Layout + :vivado.xilinx.com:xgui.ui + + xilinx_xpgui_view_fileset + + + + viewChecksum + 30ce0f94 + + + + + + + aclk + + in + + + STD_LOGIC + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + aresetn + + in + + + STD_LOGIC + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + s_axis_tvalid + + in + + + STD_LOGIC + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + s_axis_tdata + + in + + 7 + 0 + + + + STD_LOGIC_VECTOR + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + 0 + + + + + s_axis_tready + + out + + + STD_LOGIC + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + m_axis_tvalid + + out + + + STD_LOGIC + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + m_axis_tdata + + out + + 7 + 0 + + + + STD_LOGIC_VECTOR + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + cs_i + + in + + + STD_LOGIC + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + cs_o + + out + + + STD_LOGIC + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + cs_t + + out + + + STD_LOGIC + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + sclk_i + + in + + + STD_LOGIC + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + sclk_o + + out + + + STD_LOGIC + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + sclk_t + + out + + + STD_LOGIC + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + mosi_i + + in + + + STD_LOGIC + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + mosi_o + + out + + + STD_LOGIC + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + mosi_t + + out + + + STD_LOGIC + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + miso_i + + in + + + STD_LOGIC + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + miso_o + + out + + + STD_LOGIC + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + miso_t + + out + + + STD_LOGIC + xilinx_anylanguagesynthesis + xilinx_anylanguagebehavioralsimulation + + + + + + + + c_clkfreq + C Clkfreq + 100000000 + + + c_sclkfreq + C Sclkfreq + 1000000 + + + c_cpol + C Cpol + 0 + + + c_cpha + C Cpha + 0 + + + + + + choice_list_74b5137e + ACTIVE_HIGH + ACTIVE_LOW + + + choice_list_8af5a703 + 0 + 1 + + + + + xilinx_anylanguagesynthesis_view_fileset + + hdl/axis_lw_spi_master.vhd + vhdlSource + + + hdl/spi_master_lightweight/rtl/lw_spi_master.vhd + vhdlSource + + + hdl/ipi_axis_lw_spi_master.vhd + vhdlSource + CHECKSUM_009490da + + + + xilinx_anylanguagebehavioralsimulation_view_fileset + + hdl/axis_lw_spi_master.vhd + vhdlSource + + + hdl/spi_master_lightweight/rtl/lw_spi_master.vhd + vhdlSource + + + hdl/ipi_axis_lw_spi_master.vhd + vhdlSource + + + + xilinx_xpgui_view_fileset + + xgui/axi4stream_spi_master_v1_0.tcl + tclSource + CHECKSUM_30ce0f94 + XGUI_VERSION_2 + + + + Lightweight AXI4-Stream SPI Master + + + c_clkfreq + aclk Frequency (Hz) + 100000000 + + + c_sclkfreq + Desired SCLK frequency + 1000000 + + + c_cpol + CPOL + 0 + + + c_cpha + CPHA + 0 + + + Component_Name + lw_spi_master_v1_0 + + + + + + virtex7 + qvirtex7 + versal + kintex7 + kintex7l + qkintex7 + qkintex7l + akintex7 + artix7 + artix7l + aartix7 + qartix7 + zynq + qzynq + azynq + spartan7 + aspartan7 + virtexuplus + virtexuplusHBM + kintexuplus + zynquplus + kintexu + + + /UserIP + + AXI4-Stream SPI Master + package_project + 1 + + user.org:user:lw_spi_master:1.0 + + 2022-03-31T09:18:07Z + + + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + /home/nicola/Documents/Vivado/IPs/ip_repo/axi4-stream-spi-master + + + + 2020.2 + + + + + + + + diff --git a/LAB3/ip/axi4-stream-spi-master/hdl/axis_lw_spi_master.vhd b/LAB3/ip/axi4-stream-spi-master/hdl/axis_lw_spi_master.vhd new file mode 100644 index 0000000..9356da5 --- /dev/null +++ b/LAB3/ip/axi4-stream-spi-master/hdl/axis_lw_spi_master.vhd @@ -0,0 +1,104 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity axis_lw_spi_master is + generic ( + c_clkfreq : integer := 100_000_000; + c_sclkfreq : integer := 1_000_000; + c_cpol : std_logic := '0'; + c_cpha : std_logic := '0' + ); + Port ( + aclk : in STD_LOGIC; + aresetn : in STD_LOGIC; + + s_axis_tvalid : in STD_LOGIC; + s_axis_tdata : in STD_LOGIC_VECTOR(7 downto 0); + s_axis_tready : out STD_LOGIC; + + m_axis_tvalid : out STD_LOGIC; + m_axis_tdata : out STD_LOGIC_VECTOR(7 downto 0); + + cs : out STD_LOGIC; + sclk : out STD_LOGIC; + mosi : out STD_LOGIC; + miso : in STD_LOGIC + ); +end axis_lw_spi_master; + +architecture Behavioral of axis_lw_spi_master is + + component lw_spi_master is + generic ( + c_clkfreq : integer := 50_000_000; + c_sclkfreq : integer := 5_000_000; + c_cpol : std_logic := '0'; + c_cpha : std_logic := '0' + ); + Port ( + clk_i : in STD_LOGIC; + rst_i : in STD_LOGIC; + en_i : in STD_LOGIC; + mosi_data_i : in STD_LOGIC_VECTOR (7 downto 0); + miso_data_o : out STD_LOGIC_VECTOR (7 downto 0); + data_ready_o : out STD_LOGIC; + cs_o : out STD_LOGIC; + sclk_o : out STD_LOGIC; + mosi_o : out STD_LOGIC; + miso_i : in STD_LOGIC + ); + end component; + + signal rst : std_logic; + signal data_ready : std_logic; + signal data_ready_reg : std_logic; + signal new_data : std_logic; + +begin + + inst_lw_spi_master : lw_spi_master + generic map ( + c_clkfreq => c_clkfreq, + c_sclkfreq => c_sclkfreq, + c_cpol => c_cpol, + c_cpha => c_cpha + ) + Port map ( + clk_i => aclk, + rst_i => rst, + en_i => s_axis_tvalid, + mosi_data_i => s_axis_tdata, + miso_data_o => m_axis_tdata, + data_ready_o => data_ready, + cs_o => cs, + sclk_o => sclk, + mosi_o => mosi, + miso_i => miso + ); + + rst <= not aresetn; + s_axis_tready <= new_data; + m_axis_tvalid <= new_data; + + process (aclk) + begin + if rising_edge(aclk) then + if aresetn = '0' then + + new_data <= '0'; + + else + + data_ready_reg <= data_ready; + + if data_ready_reg = '0' and data_ready = '1' then + new_data <= '1'; + else + new_data <= '0'; + end if; + + end if; + end if; + end process; + +end Behavioral; diff --git a/LAB3/ip/axi4-stream-spi-master/hdl/ipi_axis_lw_spi_master.vhd b/LAB3/ip/axi4-stream-spi-master/hdl/ipi_axis_lw_spi_master.vhd new file mode 100644 index 0000000..6801b4d --- /dev/null +++ b/LAB3/ip/axi4-stream-spi-master/hdl/ipi_axis_lw_spi_master.vhd @@ -0,0 +1,103 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.NUMERIC_STD.ALL; + +entity ipi_axis_lw_spi_master is + generic ( + c_clkfreq : integer := 100_000_000; + c_sclkfreq : integer := 1_000_000; + c_cpol : integer range 0 to 1 := 0; + c_cpha : integer range 0 to 1 := 0 + ); + Port ( + aclk : in STD_LOGIC; + aresetn : in STD_LOGIC; + + s_axis_tvalid : in STD_LOGIC; + s_axis_tdata : in STD_LOGIC_VECTOR(7 downto 0); + s_axis_tready : out STD_LOGIC; + + m_axis_tvalid : out STD_LOGIC; + m_axis_tdata : out STD_LOGIC_VECTOR(7 downto 0); + + cs_i : in STD_LOGIC; + cs_o : out STD_LOGIC; + cs_t : out STD_LOGIC; + + sclk_i : in STD_LOGIC; + sclk_o : out STD_LOGIC; + sclk_t : out STD_LOGIC; + + mosi_i : in STD_LOGIC; + mosi_o : out STD_LOGIC; + mosi_t : out STD_LOGIC; + + miso_i : in STD_LOGIC; + miso_o : out STD_LOGIC; + miso_t : out STD_LOGIC + ); +end ipi_axis_lw_spi_master; + +architecture Behavioral of ipi_axis_lw_spi_master is + + component axis_lw_spi_master is + generic ( + c_clkfreq : integer := 100_000_000; + c_sclkfreq : integer := 1_000_000; + c_cpol : std_logic := '0'; + c_cpha : std_logic := '0' + ); + Port ( + aclk : in STD_LOGIC; + aresetn : in STD_LOGIC; + + s_axis_tvalid : in STD_LOGIC; + s_axis_tdata : in STD_LOGIC_VECTOR(7 downto 0); + s_axis_tready : out STD_LOGIC; + + m_axis_tvalid : out STD_LOGIC; + m_axis_tdata : out STD_LOGIC_VECTOR(7 downto 0); + + cs : out STD_LOGIC; + sclk : out STD_LOGIC; + mosi : out STD_LOGIC; + miso : in STD_LOGIC + ); + end component; + + constant C_CPOL_SLV : std_logic_vector := std_logic_vector(to_unsigned(c_cpol, 1)); + constant C_CPHA_SLV : std_logic_vector := std_logic_vector(to_unsigned(c_cpha, 1)); + +begin + + inst_axis_lw_spi_master : axis_lw_spi_master + generic map ( + c_clkfreq => c_clkfreq, + c_sclkfreq => c_sclkfreq, + c_cpol => C_CPOL_SLV(0), + c_cpha => C_CPHA_SLV(0) + ) + Port map ( + aclk => aclk, + aresetn => aresetn, + + s_axis_tvalid => s_axis_tvalid, + s_axis_tdata => s_axis_tdata, + s_axis_tready => s_axis_tready, + + m_axis_tvalid => m_axis_tvalid, + m_axis_tdata => m_axis_tdata, + + cs => cs_o, + sclk => sclk_o, + mosi => mosi_o, + miso => miso_i + ); + + cs_t <= '0'; + sclk_t <= '0'; + mosi_t <= '0'; + miso_t <= '1'; + miso_o <= '0'; + +end Behavioral; diff --git a/LAB3/ip/axi4-stream-spi-master/hdl/spi_master_lightweight/README.md b/LAB3/ip/axi4-stream-spi-master/hdl/spi_master_lightweight/README.md new file mode 100644 index 0000000..954e1fb --- /dev/null +++ b/LAB3/ip/axi4-stream-spi-master/hdl/spi_master_lightweight/README.md @@ -0,0 +1,3 @@ +# SPI Master Lightweight + +Taken from [OpenCores](https://opencores.org/projects/spi_master_lightweight). diff --git a/LAB3/ip/axi4-stream-spi-master/hdl/spi_master_lightweight/doc/Design and Implementation of a Lightweight SPI Master IP for Low Cost FPGAs.pdf b/LAB3/ip/axi4-stream-spi-master/hdl/spi_master_lightweight/doc/Design and Implementation of a Lightweight SPI Master IP for Low Cost FPGAs.pdf new file mode 100644 index 0000000000000000000000000000000000000000..acd6c1c51c688af03c297abca5c2024207b98e21 GIT binary patch literal 486100 zcmd?QbyQr-wmymkcXwzAAtXrS?(QC31C2Y4OVB`YcMA?7KyY_=3l`jhLxAA&2szn% z=j`*l=iGbW`{TXG=)qdOx~k@^Ip_N3tQucEQxFlOW29$AL3~#A@P~rP2w(u%yf#Nc z2HQItf>rE6ASD|c zFo1*Mv5BmKy@jN;vCYFUKW;%rfQM!vzK1^)M3A-7qlh1a{U+;C22j|>(Hab30ZN-0 zIcNcxe+&m;W`De}usvSberTzr1NiG;Kid6mum`Q}K@WrdR9gw;VB=_S2y%E(O3cO@ z{Nut1VEr)`P>cz{__LK5Gk}rh=Q9=nBj?XMRshqZOrRJWfay^Qpcp%V`5(_X0L+hS z0>wB1%#Y*o@c~6$z~aha12E`8jJPr*1K{EFL(;=NBjDllaR1Os!QRGD83fh>J~#rX z0&)QZC9NJTE%fsu{PQCDW2!(|kdc{zkd2GhLstd>D<>;G3k!gQg^`|(LkI9+HEZz0 zR2=|6br-j{akPDq{OH5SFF<9WioJoggY6HK7`g(5m4PB4Co@BklDN>r=qd)U9Uhwe z^yW{qIRF^`YT+LP|FW={nI#xx4-~U}n4bv9(8dS^lmS_rfK34$oNSN19KiM<11l6n zm({kZB<6H1oA1ih zMaySo!|5m1ww`EM!+YOMiD`Z3jO6O2%$8g1i6i+v=%h#l`fln$<-6eewS1 zES;wxb?mCW;WyWsZTKYe#ajNT)N2h^?e6~L)IrPsH_dt{ zw~6ZR+x(B$U&u;XdA_uttW|NfJFhR_wJyaNUTD-$%X6D=@)7x!3My}vWKXXoVN7WV&~9mhH_ya#$RMSy8gzG$A5pmt7bz?e1HD^ zgXao8@p4y|nkTb5nhV;&lXVoi5%~}D6XS&WNXlHywX_qYU!H2yv9LoA&q05sEQUyN zgP3Df;aS$_hUCC#e(PQL{pl$rZkkOw8tpnh?i_O1!OK>4devF|5O#iRTqH4sRo7UC zB+=>mvF}+nj@fw?91V>PSEr74ci%f!_Y>kYy&9UeKVEMn#OdgIHSz0x{Cs@3v$?yv zxh4g~`x?@s>v`pYCjX|zUp^#bv5ADvXz3EsiZB;X=aAZkYl&>+P}QQYv87R`(X-~l z#i8M1cj(Z1F=S|H;r8|Q0o?iJy7eM~*#uW=iOkk}tJsl+?)L=smW1|ODPHQ}JPV`q zb=QKgL&9|argOxkN@!t@SW%vaAfMj2r>vRU;*&xUeQBcA(l6_{}lT)x$G1Zz}n;z%(n;f{nysw$<$k{B(Ad3jA_Tj?DtR?$a<87~RqD z0%IaWcWCg2vD5BRR_w`Qr6kUaj8V#*ySA$Pq;if00h#oh-;1kE;g;3*&8|3GJ1L*Z zlM#(mZ<9zbM7B?mf7L>|&&u+W<2{sFfPtNtzxLh6x1*)}q@-{o`?892a^ri*E z+Jw8980@~g=38s+w0#7gH77OWO0wSc=L^^7=tkAp!=_oN>cx$~BGkE`F>%_;E}Ji&BEhwcOP_={m7?I* zSV$lSMmf!vj9HhR0V)b@N|Sr~H(kSXHi2%+sq-+1I>pqL^4XA1Dnp;ZEUu}>b)H_a zfjM&W#ei^?WrM&o*I(*C12u>9U$~l`epuc_<38h)?K9J%Fi8k%&PB=2lcmT~IlMoM&V9u-liX>DuA4Zg(qY|iT2 zs1Fo}Hl0M{A|Z%y_7%_V+UiA}Ahy-S0{kTStsE!t9M{>2NlD*Pw^Lnx;t^sWlXyl{ zu|G<#%s&-6uIM$iTVqNmqS!ADmO9hqiY%#$8<_a4*}GBtp^YEE{sF5j6TW_Mthwpp z<YXid;!FLIj!JaU1QK(Ma5r&C}bUdJ&v|LghB9?i*9%M z6K(zlp`pJp8&8OR87o|v{p453(bj@I8ZJo1*$`D-QW``}HKl$(=#=e2UEye9+z9y5 zvV=2XJH+XT&-GXW?AXgfM3@TO>nTL=*^Wgh(g*J-%a0Hd<%TO z5MC^9-G|DfS}l0p%G4>F7amt(<@mOB!V%<jP=@&% zwPUeSN}sB{8YzpfY03u1r*{PD&=~|F4LX1^OT+h#$c1D|+DLYpC@UM-Jj&BgWbGw9 zsAK9_+R*Q2Gr0&hV^bPuXD*XBCpF;JaxCl3C=X!=lTYI8a04q;dgr1LL(x{^rC!P& zws5C#&d^o7d^mfdei}?OK$C0` zc!z(U3Tm*O!n*a~(@EEU6PFY7PEvJP-aUqQzd)E%Y|uxRNuC282F|8!Oqc{ABrByt z_Qr<>mRxEYZkpUef@EJoKq+HzDxa}r*Sll$^C_^k%;Fr@J=DG?-3l)_W@N8!~WI!l`ph zkh`klWE90EqBhIA9U>~ATE{}htIX)`nP^_0?U#JoeCE4Iu=mPVyvARF8ErQdLyPR} zOR*tJkzzI1)P9&U(q@UFL&mG@VOdDL_E5A+<^si^CO zr>`#?mS8y|DEs2%Kd4PdC#L3t#rL*_)T# zi9LDa{N@&p3R6IZuqKU9&qW1ddNKhg7yTBo;`C-^XLUXVsaG2Dozy{E4bdsr$Evok z&P%F76ifnd)X))Yo5jN1bmdcy-9WBYCs3-$&W~wV#9j-e_J;<2B3K6#ffxY- zYYqI%cv7RsK!fsW!7?bhoP_-}8wR9IeHIUTRf%us2Pq8cL@rNeO4-_7R8=o4UdEXX zxvO>&9@>_MvH~m9+`h8T9IHQ{K9B5M)2VJn;5~2Kp z5(+{+?X-%eEI#?-vRzkwPpZ>(PAaXzE{R?Xq=pYVU%KA5Q?!KuWAjqx#Q6s5J>{rA zBu=A!V~f1r$&1e*dEAXe>Wv|V9+BcTccc^beE#+hIE}CGvEe}L=SS?$7g3I|F+E*| z=RwA@1bgFS>MQUe;Y}8Jg!X>ixlDD9bTgN1Hc702kofDcVg>q8LFk6)T-=BwNS_Cy zzmm7uh3Dq`28kqKyNtYB&{`kP>)CDhTKOBE-9}iSO5OCCMh5(PvB@69?Kc0FaVhNs z9d(X!h=|6oIg64X63A@St;ri+`xi}s|&nsiBTImZYRuL)dC~EE+{ua_F>V{ z?&EN%K9u8aeR86wuCZmEZcVDpF6oex@MX;rr?WoZ7yF+B-b^|a6CQy&U%Jf+RJ_-@ zyWx!Fb&PX?@(3A#dq?&jwM9Uz`d&ewN88Ms>Y>UQ(M{A|aGm3ZXR(5FEXb2XMAR0m z%9wgxpDFAlNDMQ;R1VS%wz%T6KN$I@p&-8UG%#;FI@DL3bbx2~Y!A+lB&eEQ1c`6g z$T)AeKpvH~Y;X`PiX_<^_X!FYBDGQKjx&wSc0?hjArP)cFzsXrO1v@Exuj{$|JK=8 zx>OMVRd;Yc1*)-bUo-R@F-eC@Ty!?#fk;KvFm>3wpkzr3Y=wEK6q#Tx9t8V0IF2|r zwpG2=H-|Ii+|aAE70#18d}YSVPFyc8%;FCTa_dUTeBfg?&dIAAR!rn5!90hY&rn zk_)4fJfSRz3c4p@<`$T+Bet>&cRcHFFAgb}*@)gd!!&N6q+lB5%_4b{(;d45yV#SB z>@N|$nR@amGbZSOn|h-C+uVD$l_VX6_U-+u_vkc@3N4#1&!_{Bnf4M)@ap291$txg z3L!gjZeZ#x-1`viyA#bu9&H)MqUmK5u`s5B)ls;{0|oJ|08CorR-9x|UC&8^8(QkG z@ozMakko*F;juKJK>@LT z@{_uXzhJ#EH@w|@C70*mKe#B7=X*qL*8~j+wTCi%8H{Bi14bXwpolm-a^vke*d$+`^e zJ&iJSV`h`(x1MsvM@qFX+p4j97*N>cKfltio=L7DH5=fzC1<~ahh{s-9IAj_;naDZX&wo+*dX%a9eNgB+ zU}w=53#tt8B@t86B0%NVsbvE#zz1cXK#|l{uPM87cz6&0Ah7AJ!xlIF0nlxua^u)E zlGY}|GnW~(#S!bi98qK$$Xg1RL{B`9Y_9CemKvhYxs>*#JBdB~)~Sf)YsMm{y+?yk zwtD{tf4o2e|HoF#7RO09N3W)1#gZyp?cFqY;{>%O0Qw~XMSL>~3&wlD8dED_k4_U> z1bEa2ak7PwuXwi7ef+8wYuVqQ2fUP?N4pg@<5>HY$w8c+maS~<;ybPIf+A$8gM`D) zownUd;7;U~`yLYhp@x42_}a(3t?;yI`DvW5L&&QOe4OBU4Jom+z|U7}E-Wch#NZp6 z&6n;_HZ{eylC`USWGXIL7Q;L#*ynou+uUNfonxk=-@0-916ufZWQ)>GSKhapR>IyB?Bn;U^JXO5-(pF=Bw&U1 zwJ?#sx+9B!Fz7m_Kj$f{D0*8KT>Dd=l6Hvq-*QPO_B%crxhTXsLG1Q+`UeCt$H zf>!fUx-|z^2UaCPN)HA3inlG7Rsiv`=yHAxmgrkqYV1qj<bA?l%${+Q_RfgaO5qLG_ID&?{{;Vf%73_3-sqMtKGik=fKzHY#_1r5X}xsd5d z2S#&$vJrOP*jBfDu5>ov-gJ&Y)76xs_Z<$eeN;{Cx2?zjIO;H>!-w^U1lwNBi26h3bg!e zeez+$myKhf6Ku~igX}J0B>beM@biGuLI3_Qu#@v=AUTmC3ky$zMyUcZ9BDN^G56sT zja_KmPj3~aN0O2&vwXFlP&D)F@V|y8?oe+#@(H0G`lzzw7aEHoJ_``%{BrMR%^Ofr zjeBfAV5{rp9Td#JPaaRE#%DOYy@b~c7#o_`tc}8qZlO_5T9{%ehofm`N;OG2|Ej0f z4ZU)Mi|f@Ay$NC%Rb?wuPdFZ1)NtE!LTe!e42MxtFl{q+*j&$vlGrVs0eVkk992z^(n?=s$#df!r6@Vj`31E2d6pB&JJu!t=>h$%M*kLUq)!QCgU5Ye_c9eegaF?158|8`h zCz-7vdMnFlHW)N-4@Oi16rcWRL>l?0rdj)`da7FXoJ1t(QS(?v{)3^03bV44RQ&w% zs!cHKMeQ$5Uq)#K!&6f=hSGON${1f@(JcFCEF#}^`IpD(W=;>jYbEZTM^sbi&Tf(xE;vK0e-;9F0689 z!^ZQBL&xyv8aTw@&Pm|(5xcFZ3(d_=uKJ4RrLR!~OBS1IIV{Ta`K;CtI_^rOV$Cw* z{RGXGST+>O<;Mfu*>X~x90c!kD0W=U2URqN-QO2#?C|OHh+>oTcN<65*!6dCFjLbz zI_cHM4rS7CSKJ`K2wd*IdVBE|qSoKRZF+I!=g_=|V(OwtU)5xgPNFp|_x+=F+?cBF@wY0&XO-&?3tH~oUVK7qma7ZtL+myN{ zfQba_eC3v3@-euzx+l5bpEmj~h<1bd3}mZTHWZEV#-dMLTi~0Eid$r>cX$`;a+5mY zXXQQP&Al+8SI8@vCFl)cVPpD`CMt6%C!<@0PkEH~*w<)tIqeJx?0f_0XFXzSjX6=< z!r~fi?FNH|q_E};nx4(~Ki8`veGel~_l6*7!Z|?mjre}AhSaue!w7irP*ZhIk5D_E z^4sAqur(DZh`p%i>vQJw$uU3e!1=D2rBLu7adPj@TK}@T)FyTgUFQ{gM4hR}*=!I_ zr|h#11?S$gZtGLb+1G(%U-2~x@+;{$(N2o*6n$4IpVD8-$5Kp$2IXxExA}Vsg5K#Ee%UI#8e#ehOOA&uGxFJI1eI_vL2d zK!C`A^~#|V^Xakk@S{OIQ{2JwMDQ0kn*th6gwZdto~XamihpNm;S2t@?wD+9woxtXo3+BB3&_hS)=IpxHowZA?Xv-PN(aJ<#jOLTiE}k~EU3;A+HW9a?Y3kxH z#S|GQT?}wwb=Yp?<1*};Z|Yel2P`Nh=Wqs-bw!@X54J9^dqEP51|Q!7uO)LS6G5wN z+0YdxD|c><-mhXO!md2v4AozEv}4ffTroDN%JmJ)YNk8K6pHrR9jlvh(xuo924M%< zGZTJZ^CZk&NM%(JW>M<{2HeixbURGztzFxSqGg>boIT)P-Ms0)JlqYLbcG)qWyrGmP$wibjgnJes=1w=r z83WMe4Hv^Z4#P-TD_AE@Q*uv4BED<&X9?dYU&(kp(=zUa>ZPonl`imPzGvc&(cukh z)1Kn6@8^2WO(%^?*7P-9^}G-MO^TIcM*E&H8?mG%H|B^dl||6gMu?kT82{3|T|$#{ z$Ec%d+*;-iPt17PE&=N8^B3aZYs^gV?R!^zN73p^UdYq*`m{n{rLP34*-$q`aG$ws z<;nBmJr8kcswpsk{#;FANO|&!2@zC-i5Hc{ft|jmNnJD$f|aXaR(GUNez+H$YQ?L{ z>QUKDMs`l=n4-0pQo!&vX-Q-nA*)r|2oH zf2x`8-M_$0>%h!UgBioI?dhcy@)bC7N}IwOu@@~U8bMt(EBNl1%d%TcPs#X`itu}` zTg6SNiHWol*Ve==u5x!jI7=hnLk<`UOFC^#gk_X4xayQFw{9q$s7nt4awm4dJnlsI{~ zzQF^^Np;ztO}!!PBUcJ7*IyS7w7k0~mWY%yi+}dfzOm8^?e>FVLiG`r0hO$P{N6r@SQ2*l0PTqT4t?`OiAWp@gQ%ZbCp3DDwV6z^Rp zafP>=X}k6GoXmJWOWU?2sM!TguA`1ezw8jSXZ0q=xR<{E*U3 zF-2M0yOuG|=4WYyx}MpoobIcVs<-caj20tKCTuW6$O7E-2~Nk{5tn7XSZ{SDI5l!y zIUCG2pN0kr2R#?6hmyxiwO0 zkK4~X=#uH8ff-HMpmz2ZIST2@OAZ-Devn}NC#{@f;Mrxjq10RmLY;7%mohlS&=EGG z*Mv^qmpGuBCP@aoL;tqo+LYb)Ty`W8odz3Ta32HiU1{YDY@Bjmc&&Gb-{XeKN}L7Y z#HQUh#`ie-wq@s-I=`ZXoI3<|1#Ba#ec7;oaqMC!44Z0rFdrx!pu_Y6Q$~dM8nqjBfbaseMnO!r<-&B;;;rm5Fr)ri!It+=`5k^(gDH*zvh2K&mPHE!43GHgpD zAGLE-%%f%;s_~2LmmDk*6Yzbdmg33!&W+VFLZR4VQ}+dkHWD+Y%D#$SFzlKZ)CBWA zPpz-baPHxz8O3TI=}y1MFu#~jKR80as5FlZogZ{0pt9p@@DIw>k0%U3Ap-}{<6{*w zE0DueCI&f>^TU578!H2Aps4i&=f=$11So0rK>9HQyV6NKuz4H|9|Ra!gMV~$0Q{sW zso1=-Hv2))dEhAhgPQaw1M5eVM?JIvj|3|nz@NYUc<@Wd|5BG{1E&@d#0bf zqet~09;?`hONz)E*#4m{P()d38$`oR?ga6E{7pkh4?@?$RcX0~7( zd%#ZymfC~*k1GC^k@TbeFBRqOjX?Gf7NP!Skq2s;63E2t!St@wf)9i<&=0Pfqphta z$m$0r>^ExD4;jA(`okZ8>hP$NoPpJYYyO2V9!O9o4gh8*pyUHn&dgBI+QbqBU;qmK z@Wullj+5~po&f{sm>Ag}2z9m+ATtwFFo2Dd87SyvqGo3Fz?))WV0>W8x%~XX#LC9; zC{*odOO^*yssE!F6Dz|5*UI3B_W>+S4}>j{mFh$9$L2zh^f0=I-jA{XOb<5xA?AS} z_QR?VtSu1JgCQRyRki!uF&?}3_`wV<_w*&px4KLruvKlpiO_6}fSQv>@S?vgS1 z$2}tx6Y$aQ4_;(`jKSY#`J?M^v;2MR{|^MmZ`1uN&F_zQ;lF`Rv_D^i{|KTOf08f% zicycR`)>;U0hU?-#>dG0D@r{+`Q@Ge3Z{Ok^()T)_h`lVh)0j^{}U4vjg#ef@M3vn(f$@7{}R3bjM(1+h2_5llwYR#14sT1t}y-N z^ospkTw!@67yni5$FBdsap7+>|6AVpJp=sP@`qN)#?t7&0W(ZLncaVZ8J5R<@S9S9 zq$Mo?)8nsyg_*}Eznt}7!pvV(`@aurn0}JFe@{FBrl08f18V+O***67rSSg>Y96Kh z8tK0cHGeV3{|;{0+5ZAJjDLk2PL|(lCH8+?EB!>LU$qho`_Ed5@u30(u(7lM6Wlyn z{gmIzvcPI9>3!3e-*KhQhtr}KZ@8N2OIu}HT`dK%EbO>T4Z8n{cpx8 z(_6{oDf)%1Uh)4$cNkB3wKW`#do{7-c@`+uDlf4s(jhU~vdip)RZ_TMK(*1zTQ z$F6@<`ai8}9<}^`h3ozbD351{{x;|TlcPBPy`%m)GyXew{Uf>jA8HuJKh{P+Phb6} z`oCN({CC{-4_*JI)BfX9^tX5J|JmH|+wA{Xnf&Wa@O$CO`KRLq?adyR)BkCW`E$7r z{MWD3!#eiY(v|gZ>y5{re~YYt<({7!{Yx+Wv6I2c!1~vnjEBveKXx+MnK=HEomu|6 zlflNu`kyQYn3;d=WU%}d^&Wcv$j%HucQPKt{L`VoAL;P73H~@j_&+-J_v_>yQ0&iB ze-Cn*|B=Uj9SZ!95B90czcvRM{y1YO3j!NF)SEv`&Y#~{0lzA?|IPtGL2GLp@WYYF zp9{-}&7X(KD*+x30X|$Fs+RxoeBjR=4&eVi2LylH4|*K=mp2~vn*L8G1X(yaf4oM& zHbq@hhj_n)YxP7OLY<;{Q2K4yEj7pspeL>%$IMot&yKgNb6hjfM39h|r^c;A%$6=S zJAdDg6iy#w@LnaKo!;5msh?g=nGPA+Oi^oSNP(HN#+znu=(=zA@PReD%a6@Ls$70b zhOb5a7VbT62B~iI#R^(T1W-@A#q)ACg;zJUgBsW7jn^gAZnvoqo(gg_Z4NP9=fk7V zAMZ|Kv%7#9-+M#!=Ee8;@3uEW$k^c-bMO21HIrMMua-#3!>gZMk6eGCn|Nct&1WBu z-u;DjdWpPVM>+RC^U6l9+Tx^!VBn_UNY!%Q_DY_a%Xz6&*NJLj0C~i^+9I?a)7r{? zB65b&dO<;^X36T4gke(YX(@8`rv3F&NX`A-ao5MUigoB*~9y;Y4(GKNvl(b6%lF!<9SQ~=28jt(U1x6 zuo)l;#)I@l03m~!Ktu!vwo?l=gpYe=l$H#%cAYT&EtV?AlvXHoS>(RpUQixm05k&} z-9#tEXapJh6tsDm_%?q)3}e{$6-|bGjY0Muj!NYH0Ik#%x$cLPK&WqQO{~tbtC!(A zUD0qe-><%!c+>AeawQ*sj(EMmS+)lf(juKYz1eEt@O}lYQ!sj8uz)qoxs}tjZ9~gD zuD2eDV~ybLC6~(+j6d@M>I?y=E#q??sTlN|K_<*jN#B+2_XJ8^aRIKc7)!;U$YSo@ z;2PX6OfR)b>tkfth>yNhaF@7`NwReas!h%i!bM(@ib5*19biZeGjm(8`f7wh_D-ec>Jn@PD0x{2t zxCs|h>eAJW956(NjB~E10&LNm#j{ogKuvG=VUGR)eT4myPUp$60^jIVh@6=7k+Isk z)V-ng*z{{8SK{vB`4BC#!s+K5t?;|FwwL?C%NuAk)!N0z#*Se3V7C+Fn)byY0i1bf zYQ`7ZE>hIYzD4@i62(#bM7EQwA8cEnd82B%<$S}1^oTgD_bU2K)jT(+5itVG zcGP?8?~lBD@F=3(o{dX%jil#Rc(km+^&G$4s;PY>QBVRkdK{V24 zL=CmwO46gaf6f;oxwpoU2fa#?Xpzi5EO4wjp~NFGn{qaUSh2DD*-d-#V{E#%pXH?# zaO3VIArL2TK>k3hZ||^FUS}bd<3n4omd7alx0)5fp26sTKxqB6%w9~Y3Ve2nCw&-I zGZRCE)jO6LUQ83>&PWyyZ1}acqHT|@&oO;Ba^ag@8uZccWa*>E#HEc+88K&F*-hn) z1dW#I7KPp?!SH zB7mU2qDzqXTpJ24)>}u{)M2mQ?|sY$6wQht`jQ`Ivk-1!^k%yM_tC~81K#8^&Lr*K z>X0vL4P8)MlHbhS#=Dcnxk7{K{IjYCYex;4rSNQ)ZfhGGh=$#)yJ26Fb1k0@eq}Nb z3wuoqyEt3mIzOBur{PJR8XgJxj!*k@0j;*)(h&a%2jKYoa#7OTMX@*9cb76=4T~hN zpkJkJv&9!^x~98$LX5?}wa@;@NOq>hbOk&YD-#VjM|Y%pQIJsRzp~ssM^4@3`-y#F zcNR@h8D*@Yo(RtNdaf=ZRO<2fUM@! zM;SAS>3(nqC0e~3swEo}CI{lSUYU0_#H-GQYm7tj= zE|xq^ao=$MM$4eC@xJA==Dj)P?e*!}i00(I=d*Y+zQMa3vjYW3wfc0eh`^{yOBn|) z+Y8rqaA%QxF%V?}DIWfzww0COiJB$OyN72xVRJJP7*s zJ#oj{(KXzYU_>`qS=OMg)aAX(?2XpRzWc;F`g9NsB50}DDuTntuI^olLGAHqo20=y zRj4|$%#Qb2gKjEpBGis2!XyTbu$xr!$u45VK;Rqmv$ci8tB2%vse{!b|MumbB9`#m zZ}#~ud}`6f&%huQ#Wc|&pcH^Dv}yLPQ1Z5;MOA%^UH`!Ko#K>Nm5!1T7;S%cvGLQ~ z_bRG)11#ii5DC5w_+x)w&B0(V3dE}ogS{Hx1JZ`X^XGQxzz=3*AU8%Ge= zeafAsR^&!X8fnMY;eCg*T}MN>F=~k1;i0Sv_uceF*13(J7eNoA*dgCd-mJg#o9Qpp z4JvLi$+FWa6cY4$w_P4(XTIDOWcO8HJ~WA*XM9yV?1iite%-?3!PK)k{VfIK8S=z& zm!OO6X)x_>Od2)0%jI3RhLuxj-X}))nY5Va9T&zVIZ$l?&CqetVS1W%r4-=LN>-+J@&JK@XX zS{VfL_jJgJ!VXYrDQec`obWUbuka3k1hglj<sfLolvXR!r zs!e(E4fa{7T~Isr+^ZNIYz|CHxGtla)e5a#hG$~8vx~bosEmmiLmH0cUoe!3D~&Og zeNQMz*z5L)D%rTXKktQ$Tk5Y(wAQhbm_X+?ZL>s_5NDq#GIOMFtV(h-(79jx=-UuU=-hI9;7a<%icVI;hY(nbr$cxRd&U~-C)hCkfvfE5LL_;>@#b9rX zU7edf7*>1Wo<{a>bo4N5XKjjD%fV4x_via-N=8u`ZCHm%L>LzqY={!07JSo=C=>=v^sfAEb&T?+1Zhs;)?SjL(kEwhTL!^1+-fwbGmQ8G zb_m71UCYHx4+)9-EJmqNqCFPLkGU|-6V>l-B^%&zbSWR8~Epg{t6)*5mQpR9J2{X}Y z_I2?_6sykNu2)t302&!-F>v9=8a&upG}3s9o7bD9=S9`$tyN5KS2Ha^L~a8UQwbap zi9=Cd?L zb#*i>7l78>DFr|D<&bk6SdPO=3 zi}-6X)b8Y3e*V|!plC+o>kH9ML74A%^d`CmVd?!jIW*26gH107`GX`N=7IqiV#5e^ zf!+e$&s_70juZHB6~;NGj!c@9n_2J|g6B`XJiwk!#pWl_-7#C%^LN&`W3aKfp8pz@+p)ZIQ5T=-?VUtc-Ih{trrY)@ioy1s@q2HPRtv?9gE+Lr9I zOzT`kaPmkMW)y`wSL6WAHI&MjtO;+S3)U_SrN~r|Ha9AIuseHFHBQmDUT&q6JS#k) z(v+$t{krwyfE*J$Ysfz8ZP8nyYR4rVVOu(i3HbG-_%P}V`#;oc% zrC+>?x2ZfjRv(aVBanpqY-D3Ys|@S7))vU?tROK~D8G}JRVG_Lrh8ayuE<)U8Ee1m zJQ_}aV9H>2vQOudy%)}a$Rej`vDz>P+j;Hm(jz}&J*`=i7K5AhCbLZ4rfX1aj*%T$ zf2ILw#HC-~VjOId3mDErS7{^?l-*E|xkQ==1A8QjIWqh@u~VHLSM zOoh|ksHgEZY8Hb!#&7Q#VfBcNFH#)l(!UV3g6_9z*CU^zrI&U?lg8N*9Ih&N#PqB} z@gL1R_a}(hk}M%<5uDk0!lo!At2&2(mXKz67;`Zz{~h(?vsvh5o%XC(2UlwuqPCxc z*YD{*o1K0ls9?b!4P>tnc9I4Urdx)fX*=oj*d+7c4Aq>Iz0sg0<14v88S2+dRq5+Q zZno_}3Z*_om4ld9qjzYyIC)Wy1`%quyTLJ-d_4wVP`$N8t%omZ}<+vs~({z*y7qc2$T zf%#{?!)H_<^N7X-UOCr0kiB=~dOgwZoY!H(RQw(MdRaL?#KxC(CcQ*|Q7hH|7`GWQ z%mGd9Alp-!Eggicd9GhV^7LC*+4gW?M1V1i$m|mnArlt?qF!LB9}_03Xp>y<)fJ&p zO)m0H$+L@MGNfGaxN_S>dV39Dw-pJ>{_IYa@WlnY1IwJW-wci62v~}yoszBs3eCFJ z-?T_FcJzLvyDMLhP|s^g=u5I!>X!GSfT_6$ZBKxT+ZC7V-WTS>h%&$SZI-5Sa24}H z970XQ6m71ym&M)9z}|U6_|!xW^S$eN4JQeaDPH}XRFe9)x<`v(c?cO$>O9v(k|kT| zca5x#x;oTZJ092 zO)z#>Fqh64)IKxVi$CifS($3d+=_FP*bG^3znnz@9v8`2HeUQX?M!2iiD&S79Jg>o zHlV^}Xc(Q1?<8$@7wK3BmgQ{{LYBR9g&pAST9QAZZ3thH!)Zm9uveUSQ)hJ9_}w=gMBkj)7d-b{Jd7eP8+rCzZTH8{vYy?_=N zm7QITwP+j2EMl70z!I~(x0pUTAq&4;Sirh%Xm~bTDkDAc^za;2J^Rf$N6bBKLEpga z;eml!eay}CaFGkiH^3f6-h=Zp8+RE4ds|go=NPLS+`KveDt|U7R?(E`ta@{sC9JM1&;ChjA_YhDa(rV`;JZ3RB0r1RX6*_Rn#RUeT@?a+t61EpCevZ7^ZDZ8=aM4c z`!weHD0`wsx1N<>vm90S@gVSEh~1Uf7NSK8D8{tvw)kO}HO&)x4kz|1wqh+uTWR7_ zM%pQ3n@2yXr^2GNeI0s1I!C2UX=@&NWL+!BMah6V`rHS1$J_p$8&FyHM(TO;gAJ*C zZhWYoT|T8s5YD1Zip@w%E!tjES@L@lS$VvVdl~`WUNTd5hnh*fQos6YECn=MVFl_Wa`kd{hSwJjTC8|UOhns2J$ zG5WT8WWzRu&_`Z|d-AxDYDV)LhSjT&$yMR=9t5^GSpnu_KC;2O<_%KuQEyv6jb7aD z3+|g+H#k2PFB2e|jDQG7y%jwT(u07ngq;N#pWPY~6RlhNncwlGhB~MXR5>ttz@1FO z-EU*xz(5Pp9SsCKldfl$>jcwUa8^y#(+fm|mb=Tr>B%nTG#5v+ zOW|4dN1u-Q-3o-tz~gREh%p~1WlbawmC!RoLO1K2%JozvXBL01Iy_kLZTe8HC6ul` z(-5%n{rn7b>J`L-wYQD74i{`ERh3~!MOFlPP$9f?OXx{W8wAP|*llYU($%DK)Le-8 z$Y{PCqVnrJfZPsa5~Ps1JGwccA)^paSWqA3gvu?R&x9^p<+4&b$dv=1NZLSqO0n%z zg2Loz21kC9A$VhAN&ZU-lbR=Sx)u>yJ}|2(Iq?-cMnrSB%<1TP6=Fle=y@&Vr-%X_ zJ$u>;!=fW-m%)s)Z3AuOSjXinY@16{#P3cKj66f)yqhaVs2gd0+?_~+NJ4ucJ2n|ADBm@yVtafpgcsM%-{Ro~oajb-+Nh6Io&8W8(+0D4$ zo|ySfbbCpWf1Fs!pRJH1ijNN(mK>%bAHqW>qojNd8gOE1Y*KOc`A}t#W}$;UcZa{Q zQ;~`dV1&nqQtI!_@+TAY_-+*&G9SU1pR}vPQx<#?J-w0~D5CZvu^)5kOR;I1^%JIa zoOkj4pMA!8$+;kzoDu}pw8?9md6xZZ>~Nfe4bR}!yF%XKw>H9EK2th|PMBPt$yBkv z7F12aa8K;_%>Ejr*q=!mEiJ)7P(c>B(|_nhj7kuY3_2HPu?3SQ@HEVC^>{3*RidMZQD*Jwry)-+qP}noR|~aPA0Z_GVizFefGC? z?SsG4>C}3js+I1pb*;XCRR#OaV~Me%$y=n?5`CO%_fgSp9pO|HHilvxk*judTEKUL z3`Nmno0Hz@fu1lu$i;Y?1O43%AxL{;N{*$yfW|ApKzZeJ;M{!iS8>kaH<3x$DkV%1 zMGal!8Uu&^?XEFX`aQ;R2)~fgck+WHuz>~8=1ZZFsQnBMtZ@Xy6D(%dy2H6G5fUN9 z9Vr@NLS2k-H{e$TQd(=v8c_%wC%1yg>v*liy!REs8^ zm~3Pu;&0kv_92i&4#OW9sB<*88e|C?8G2IAjfXs;m*u8Y6I?2ndBJIjvWsrA7?l?K|u9#@TLAH-QgYF#Kv`m;A29WVxa{ zx$+G3KK9|)WB;tH2>AEtRi^=zO5E-DmwdOOhX~G|EUYALYsGUwy2k^9!qXJB=7H)1 zBH6Im$!cZ-!50F618PQA2OXmx&XHAjYss|vx3q+6H*R{UR!+Cs;kGpNaO*ou56-8H zQ4m)(iaarUSQPZ1XmIlAKp6Y2(4aE=D9xllv5m+zk#`yw041~hnUhu{f9N1Fh6VOz z`A2XOTRl}5)gpSMWQY@moo=wkx2oOFO4tJ`g_a9EAeG3Z$_m^IAzRka873PvO=aZf zL(Ic5$HR-oEkKR6ilS|0=lC%8c7!@k>ZyRT_d8Jaw0*=_ z6bIn!MeSqRdf0Be>odUD*k1LOsYWlo)trtiyc4Qg0fFKuP&Y48nH`l62oFG%VS_@2 zL$8IZfK*lu-N0h8;B3GT8@<9Dm}t25CJ2Fz-bCq&#M{WS(_;>yO|hI4E{Z2ez#;!0 zXJn0|xm^y-AqxC}D-MiaISdso_KG&z@#G#wtWpP5Pb*}0(ynZCb23nLZHzgE6b25r z_B`#JV-d$p%P6di!-QXNmXZN{&7&JJp0}gzO+=RoZpjn zNEN)nL?aF4 zS6#MWC&Gy-_pSU~Y(vD0?MPLi&>bBdHIW%p{z_xlbtk|YNKCUn=Jg=8#fGyCMFI$# z410}!D%7XMm9@MR5WUawfzt>ex-+E*>j3k-p9{Nkn{Qiu!Q&KfQWWIS!uazpP;G&# z05gglE&MXBO`4~c0Bx%>Tj6$kz0uTY?xJ@tT*`xAV{svm0PwHbz@A#e-z1*Uw$-sC z0QPO)WfX#dh<#zHkNRHJ+TFO#47Gm+lYRgpRB6tl#9Hq$VHn-pIv8%muhaA@=t3tD zy*<>|XMJ#a$b0anlh^+q<(k9QJudubSari;~*VsHFgs9g>vevA!<`I}lBU9UBmr zo#R3)K%`YNxi;@xA;ZnioJ3|;Ol$8Zv=9jw@)Tu4W~y*|lUZ;ieP1YUi4loZ{tuBd z7SfH4jV$omItI3qhl}PzZ=kd|D4VGg9_=iZt96tzB&^SLR->g6dmGGJzVU9^pvbYx zhAFm&OU1AYUY|&FJxF(dU>2PAr(27QD8>QO;tmbONQQ!hc;@q)=07;r+TEJFtpX=xA# zlA1{meg+E+%{Dt!OBt_=tU@nP69-PBVz;gapbLL|_W49kDBRyt0DBe4-2rJ?eKA~A z&FaUJ(7e1Ow2N0wFP=4&g9GY-W3A|9xG&R~Z1sT=isrKo(14BkI;H8ap6O4X29y^+ z)6>)KacMRR9(M{=>u60Q8$wWB74*J=H?=xAs4Al@d|y1B9E;fl3&o9Wc2R!ox{`#A zx08>hR)uI&j$@!+!3wChm+XqU+bU2~+XDm5i932e4ThSV-^Fx1krdo)hnj80kAhE{ zO&mII1=~Ua%$OFR&w(J1*97;Qvi8jH1BrD)+`LMH zYaCkjLZrgrMpr5$vO$ZOHIDF@x;ENk^yXc?VfF zsDk;EY}<0RLrSg~Kbk8mf0z9+s+1r`!;vKpqCN(hOkbI z^c|vF<@WJ~{}g@y2Z;Yaz>@yLRQ@eD z_1DP%;OKveCH?K#e@Z9OeBoaIqO|&FK8c?Ff5ay-u(PrLKk!K`Uy=?QMtXL91|}8` z{Qtlw{YC2h9qHdVxRkk#<(D+g(D94pVW(wh!DnG$r2Rs7*_mkBzpx+{MkZRuFPukE z@rxZ&{i9Q(6Zxu!{xv;c1OB0bqf=AY_(EvEzVvHuzf2XsYO5PM{-bC&KI1=4^`FWA zZyaD?Wu#?g{!&9R&~h;Tfvjj*S-(V3j9;GoPh6m9#%E*yVzBBUmZ*!xL<=0P)r#oj@H2|SBTtwoPY&+LKJYHb zt5%iHErL8)4i-f`!RXB6wi5Zz+^BNrZqP?FD~#4ncG2g@+v9gO2oLgs4-_x66@gXm z*5r4z4&INqD2j4$@`;i&#-Ve0JT}g%r`T*2@gpp}5KekZPH6Dfb|o8t0>|`JdCW4Q zE3?iN*n0TyzrZGb(EmbX#cQBh1E_ADlK` z=cWs`)n`MAt%?Pshm7FKrf#?EwDa2LjQ;zs=aHQ>FTwv3$q zY1`aVyKNF@NhxFuI{dB5z`CplwFwsDp8Lg)bF~qg z5-$@3w<3`Z*7P<(<4!r-j95fjvISty+3;FnC3?VBH*K(=u=7g9_SEgvWZ0zZjr$rh z!_;;414+g^#Kpna@-6Og7&BBeS18OK9)wI7J|tg4Z)Q_FOd}vJ`yJ3SH#PqKVZ^NK8^2y}A%`PiKd=0F7(^|? z*_BmYdbzvZpI&a_WDZrRHkC`iij2%$qMqkTT~+zh$U`ICk%{Q)h@6qA1jv(ExniH1 z+WDSSNWZ#3*(`U0a*^I29*Z#t?jm;K9Y?uKlbjvdRk|=OTD>kSUIRk{(1lom9|9gx zqhHyhD>~vBW&=c^%?qnSG`*@s(E&!PSev|{0W=jW;P=WLA&a3Ti_K{V6$x-1hyZn6 zx<1}Efg?TeuXG0x#T?b>4Tf&6E6f^XdK)E zSlOQ;kBO{4=r=TO1A8=G9(%YQEX_m8)hhj4VSegGwMv*kero%}Y1s$w;*Rfx28;TE zURjpEpI)ZJJWUzAw%9U}Jw}GlqL4>7Gp~i6ugF|%(^R=L0Y804*7vl^h3Vy3MJe|* z5)RF~_`;X97nE7!i0g0vKYvpm@4hmG#>wbreb8G|99Ot1~uBE z_gN7pu#SA>T4l_xQ83uAy!wY_4xLO~0sFDg;oe?p>m|wyiWVnfYJq_xj&J4+^P6{*Fr=_hE&2Mez00krr2zrD2LLz`N zog1s2I3dp;VBpXuh5*J)F4`gt+2;$EG{{0HfIf|XbfZNA0gO2x4z2D_pUdAnMLU{F zo-07WvBL4@)lJ?G5CU8c_GnAL(1Qb)h?!U*FpaZlv0~&R3LeQ(O>Y=&Ih?vzZk3t^ z2w&H39Ea2I3wE%be!>HGp{mModdObDLwHn`vQXp;w(v9g>-%MHROZ{iNZFV&cHGQ8;-gXBIIO zP-ZCaBx-q-Y4TF>OWp$VT>0Dta)BpJLw;KwzpvluWvaf6P{xGhlPTpa`3rJ;1||Ot zx&v`SAcrzT8NbHA)c_{DmoH++c4HY4H7p;xG;6&Z!G;SwX^4ZByvoK$cPd$gX;&SD zb!y>_T*Z3+Njqh~zvL?-pd&pQ$zrQu`Q1iiztg!*Pg*yzojg~r7Gs~VK^K^8h01twI=KKzse)c4P~|4r z#f)_<>LN+R(f&&4+xmE{`NiGvzTswuD#NL*R!<08)BdJ>)@szEHv40&knOPs%j9r= zsUZ9brMlVi#zs4>X{A5|NHNU6R*7nE)BnHmi^z<`!t`A1vg_RuKrLsOqEMK3IhmDquZpMot;l7H$U*r1m0 z7@HeKuhTqZgqw}rIB2Pbsa53WbK!jE@F0IpQmxyhoj;AkgPk^&K7gguZ9FU#1UL0% zV_?84z(Gc9UeV8=j42^Zww0%bm|ubA538WS$i^$x-L1vtVdD1&;`cX3W=Y8+ci za-BX#3+ik>k&-wYWnw;-hV_L?pn?O41uIQe8^HplNxO*nO8;F8=xjQc0uA>9i&!~s zHKsGkOgvUg8F6vD2qbOnlGR-PYtuZFm}<&PL&)jDUQHj!h?->v?nj_Cj(4Arqzl1z z?ZV}mx4Y}o#B9sbuZk%?_b2F&;P1fAkUcz}fW1pZPY1w^jKI`4c52{~x~H6w0z1#f z$0h)XXZ0VoV3y@|RWp|qP^Q7HL&X6P`pXgl)`gdl^B)Y z1Yg5wNLs%Pwf=F9o9VFbzN@*qxk`ctK+VMy3o>8O9*)OBvg3ab8ww_|b?e~!xwK!y*K%A%35GgN~m zA)_a#`iuUYQO-sAI-~M+H~$$X@y8UE9Q5lChxrl7O1Jbij#Z<{H(B`5w_}g6m6%Gt zuh@?M6IAdmiq{;&wneGoS`_XQ1*ze3cd7M7AgHSf!4|$C$o??M?F#--us}jVMKep#yKs3E_L#! z<%udvkRw!4G2MkCS%{o|%^3v=RN!LLj$k4=tA=X2q%??Q_1NN9y_~Rz=~l*biorTN zV-j-I;i06YTqSHQRTX~)y9~R(A{_cF^siHYLf68eC25^#WmJ;*VWp)G_%#iG8}0bR zR^w@^k8iZZ2W@ukv#P0WKinFmXrY%>y*Ef!4|qRGR$IM`r)Y`W4j0x=GiBuCiFmdv z_P)2DE!ozrlA%hat?^ale%V2dE#@c*h}#+{mdbOK>G=vNx%EP+nDPGnjh(m*uPdMnWSA{?);7eV5J%I6VQue>}T)G#%Ud*oy;m9i?sME9l9&7`6p|WgaXX^ zwccg`3ZDsT;T__I10#-ZH?4mn&=gTrlg}I}3@!|J#p@pQ{P$Hk6jzmETj?ZxIfO|vwp26|NQu~NA!OnAo~)`{|V*)kARHjpLUA= zt(@<_*^c>=>i@ON_4f|wpB{7OF<8S%Td+@jS40@8=hYqVUzo0gTbCt?&aaN=ZIC`; zTrPj(l{gf`EZy$=6HjIBg~E|tn57;y;#XIvPWQ*Um$%b}!NK2CHQk-+Q7I`E6&a@s ze7x^Q*dkA|mV+vL5>f`+o<$rOg*sgxPj?A?pZfO?bFyDx_+7%(<&gy@Y1_*~h%6t$ z;i27k6@Qq(>csTmxSF5uE9>`z(2UjIooc;9TeH$9)8tbKlclIHEu z=gVC}3^rg{q%Umz9->++-0C3v?3x|Qi$ZG5+vKO+Epl7pVF{K#Z*+;sF9P*59^Oq=u%M z;kMuCqbvTQVi3$rJao-I>%7$q8uCa8gq!S=b~H5Zm322Izz`SSa9h!)oo0QPFliv5}32O=f{pKZVWI zKKHeZ2U(--dQdomj={b)vHXe7xw6^_l&f>jczgbBUjoDw?6$#QVLEx-&PDwZZP0y# zRU3@`0L9F>-f_3!g6#>GeKc*=KcronrNZj>+nZR%5uk03(@3lp)g(n#Q%ho_^FcLG zlhJ2r{cHL$E&VCVNS{122agZ!bL&Y<#Uen)f={dtFJhI8x^y0b-an`t3vt#=3^TH4^U=6`Za)FcGfnO=Qi)_GS zZsqjz8-Z>}i{$_i(9fq0RdET%6zrl%U=R05Jp(zBN{_&D?tfslc`wJ#c&F^WJf~z-X>~6n{QQ{v73BtZ(FAWa zE_+rCdQ$L)#W+IzaIvW{S>MC?KN)?yV^msX{6XU z%p)We)ud5^%tes)Og~u+g7m!UY_lU4RbkCDE^P6P;{sWq6A{kPbO6|fcpV7g7il$g z52M;R3T&dCfzDhQ%^h=Ir%qlS-D2XIU00Kuy~(>JH3*`B?I=|Cr>FVY92^PA#-{Ii z43ez$6RHYWO(lX*(V7Gtwpl`Rz*w5ok%hTSsv>4`r#0JqIngBS4WpQ~`H6tAv-o^;&jbW9~g9+q(KUq(*< zTGGYx#97jH&S6O7KjWORnaq=))zB?KzY77=!(`qDT^{W2dN2NzxiQysLRrNWS0#@S z(DmN%YVKQ=m8nZ81e1nU+|zOwf?=X8M`b$7`rMN_(<9cpvWG}I1P}7A?Nrsy6%}qJ zdIK2iLX2C6ZO4KUbQx}Qpj0fHw<@)eLA3%r;~WnyIJV%kX_bSs95R2_&rGf<7IZ7n z8yEiS+rnWNTxo9pF;%kAfk(yXt=NymCBlSj4kkRIli`#0Y>ElfK(NjoDH2dZIIHyF z#;n)4lh&3>G|2B;Wfx*hcd?vFPmr3YY|7pSj2#IbIINlDm;9=Dw9m?2FqfZ6>!Zqt zsxv)=13ive-3{U@|rCO zN2-(yE*BLO@1%8qwu`Z-M*#$%BG-?0fP*bMEh~OEj9uVuwBtnWtZwm+I?FpxR~*P1 z2brza@7wU>=JwBWoiq#FS{JvXXELA_rTWqKoDdcC&EzpO5Bh+i79xdD_6FeetcQwZtYiD>(BAR{fH*So6%sF+WM9257pv z647ws8{LEekbczi-*`xD4h`laUE|l=`QB$X(QvmVG(gIQTM}T+)>l1dRB33kE*boI zaE~CwWn^TW?WI;RG_u}wMyKX21*`N@UlXu}H3d%A$T7@lzyXU;e=lbl=0RW6*CYES z`?xX0soM~Xd~KN>Za%q~jJq+oP#)k-SY6C`n2Zi}&8v8+<-{$ugl>=k$pJ>3j4^%T zRC$dz)Dz$^a}5y*GBvdbPE~cvCp!vaf2pwbUOp+t3_p) z6v!4Tn6)asEo8U1D|6K;;Fk&@6{5Y!h|uI}ju#4vz=5^??o5Wa?=JVye+c+0v_*%* zeWQ>LTtG$S9WNvHk%S)Z4%eS4&jG37>53Lp5vOHtE^Hv4I9aWV@Ds)dSkzximnaPp z1Co9U1%xss=rpk}qBnSi{Y0?#%~HtN9KoGpYLoz%z^-=Ci7@)BEl+#H@U9Bvlo{w2 za4q4QwF&~R1K4rA+-Wr(L{rr_d`8KXpw*|~=7Ez%D**%RO$6TotcO^&Fc79anXsfQ zjw*FmN3ya!nEifxORV~1u2>@)jQVab`0n?M{gv;d_pyLrLgHS&uL{J_Zt!s-p&SAl z?#|zM%A;S*+?B?tK)W#H)o#=XWYb{wK?}GJY{m|VKdTCTieT}vI25{Zf{>&w8a<3F z21YV-j`0IWoHft}Mk2$0fg0>r(;*ZrLL;0X*vFv{vNeXqY1fCVA=g9*(5)b9EcH`w z+y|*QgCW$g0Sxf8ETyOr@Ry32Tqgq*T8FE(8b?EyYZ^sD8^jte>Y1xGx7>|%L?^3x(xz^~j2bQz81NxPzbM}JQcU4>wQtvr0W z(GNS}gqEQE)-?XJtyxNVM|`u0{_)+=!RbLvtPXO9d(OD6PUiD5j!X4|QDW(ui>SUu zhN7o6d8?-)g5S{#Rd6+pTD7;DU*e7;rDqq?J@MOPFi{*BM#(Ao=~Pn8vAcVq|5avL zu-w6eT8C7eWNJOZF6Ql(<@n>Rx(fN1kny8XIFYb6TP|AG5aU|er1`A-egar7BYK*5 zxn{%|Y9AD|^4f|!)Mf~Oh8tpK<6AtR%^7+NOcWwn=88uccLoe1LE_FVOJiC*hOAL$ z;Oq7dy$|Z{ZDUFAL7nie>XQ|Tvm)Pu3rBa}2lwh@544~Os)VxbbNS#hj6@QO=w^|% z;GF$-C!qYd{tlTM(PKdbVC;Cf!iivc^6!f!EyC%cCCPn}@58C2CR@mg@^4bqB4q=? z$Y8u~D*oH{2)E>VSpILAg0WlH%`oa`{v%zRMxy< z4N}?I?0Pm-NQ6M)-d@B#`<1H-@?26$>k;yV5(nxS^L=kM@mY12WH5a7KY-{Vp z%^^|UFkBmC4juBxPV-a>Af-?%$J)kLe9}wxE2JbTREN8Mae=`1^1?>mZo1QBC11dt znTp*vQJ;Q=b$A;io$>lH|Tyvl`joBNt! zQ&DC5XauatIrOr@rxt*x1Ca+&axvq$deBZEPO?2~+t9pZbCo!TWcB;WUTB$qu`E4Lz=1_)T(y93BtqeustY zqm-;fU^*08Y}43&Be>=)w{V-iPri`7?K^ki6rCbTOO!R5RtB}+a=`c{N$>GIgk=TAv@Yo=39g6hUe?LnAK<8kFEOz7KZ(fHRep zn8KGAiE&F_%eKcMhoWdzYPAbea_z-+M>-C?X%s`)pc1&&!xVVnZM6r#NWzgSGSabC zOqLNb&gPit8DI@3&RcyO(aM+xv)b_4sN}%CCy3(cHX75+z(UlXn!+-VOK+7N$=E0+iu zf5HhS$zhJ5IYa{q+b{`HiKDDG&2lEG*IV3YKRQW2{nl#B&tOow{H0}8qR>sA7eE?C zqRZz?Z%;_ePgW|s1hAe1jXobg2V`-6kPk;5zY~{Nyok-OCN7inLCgSJ+03IY++Mwr z7|>D$!w#d|MO*IA^Dq}gmIr38C5!_vh}Nkf9FJ1RpGQkT+>Od0P$sxuCZSw5iW_+X z^$_Kd#W~z&&nfu!M38i=Uo<)xf3G$RPcMo_~dzFg{v;z zG9nd((`fPiF!S=Cp?JK4gUbKuy^v93W~KlAKLe`=&n?W9;wC1|RJ4*9uyZsP)n@Jc7U)s);@x7@5FqI}HCifPf8xhv2~C+mn7 z%071DB%}7DvU|TQxDN6HB%Tb=W?i_BkT-f6VqlrZ5C#%Bvlk}Ku*KnIfX2vzTKTLa60)Q`yFRt;W<4amzZ7Iq zbxi*_jlI@y4A}R3`_h1XN5k1uRlRVZdoY6&@0XnoV72qlnGJfn9t9m7->Of>l^434 zEc|glA<)!^@fHi~tiGDfx5l(ZzD{U6&J9)HXoFnYIuHa2Na}(*5ZdVek?DuI8Y~Qh z*AA=`vR^5u{YP7Hkr94%XsugXnfjMW$wZ}(uQhjDSrwI!^G(6aE0a_k2~QG$rL`&E z3FL=by^y**^4XZo7^3iz;|jNKJyzzwX*|m4ql|5=%0o@U#rh+p;2weCYIGarBh$jD zYkV$qV!W^I8$Wo+=_oP!vc0=O!2>IoN{7?M$FyZUvel(Gcs6}Eb(cbBaqoMx`Ik}x zlE0pWOvsAV8VQ=IlylJuki4fdP=|f`8lxe{Lq3s0auS#eJl*D z)$jAN@hxLlB(x@yMjQG!GV2Hrkn@lYzuu^l15@$|s<(ShTVvzd!G(|%NH!K~b2Tv( z6X}e`YcdT4B9`i}w{q#E1mCa*<-v0j#8FgY0;G2LnPA)*%`IO)~_NSV;oc;eM{ z7^Nx{{X*dy%9sH|_0a5n&C*8+a?sOWUsJ&(ok8u{0Utx#wyM@J-)gEr?VxRw zK)!{-T@F7rS!J`Ki?JX#Y8aNWu_z#H8a$*LY8}lo&1ik0Zfb%S)k(O0nv9?Iz5R&J(H`f=9ja_c1k5Z{*6#cN+d`$!+#_n@HnNK3B_f zk8^V3QUv}WRZ|*=Taim`>!y_V4#h+VEZMtYiw%$SJ66Cn*=c0B-fHoNZYdA2Sp8&g zBR7sM=x0qBSkZ0bpxko_jUbo>-p`QXj*M=aw7nLbO;9-ZtOc5O5P+{$c?ds#Nncr-{ zU)3mS4<{qxy#(sOKhQzt!DtkTMCL@Z(h}@huRWEdV@uX#G_)PL4MiH)GAIJ?f!%2I zHl=WyI498U(F|Kq8Ob5>n?RC}s>!KM5RG=?k7)Ia@PAN+0|xIv;D>;g2O_OI(%NJJz|#%O6SC8f$LHV-C%&|0)!O9V+1k~h9k{buW8kx^=`h%Y{xc|W z-qJRGd9x@f{T;{PB`WzwECPbyy}*p$<9%*O701@{Zs+4Xxb(jNbt!i7-20Wc$;|tu zp8&=6CE1YKO=QZ#&Sfb~LKy4&(NuK*yh9eOD#m!5&0vfcN`2kqZ_#BQ8XgU=>NYyqTUOac)kl!$ohtxyKL_yF=LT4Giir}wKK=J`&j5Sv$Vy!;tiZs4 z*BrV$)u(T^E4cT_nMyPS3RRqP`}5e7J4UwjtxF&iGlZ0rCE>IB*(O1VTK%xZBo;Dx zg?DOh03mBq>`o4%QT?ty-5*PA-cMJH2pYT6#t&p(X~5YT{b%DsquhtCl>#fxk@ON;njnw`ce|LQ-c1qB>cK zPu&5wf3W>Th^`0&Ua-TJiC-5-50IFSx<*gcbjrtMHwc6}fbAg@OGuFu?Sg}281Hgm zx0OesT53VwTX(`f4TnyG!r1fA?4=$AO(RQ@a$3H|n$P2Qy*nPIZ#p=&Jk0)8)ig*K zkK%!$43o>A>;uk1Q-K)hqIQ6xUM;kAC-I;aIdB_mXKeI&%UqIf%;eT*fHYI4lMAYvfJDj9t;&OZ4jn1rw zzMfj%c2YAx!{sJ5d^L5+VvA7mx{$nZI{U*%wBvd9qq3j9Uec+J4Uh>^3$#Eo=AZ|Q z7EL~$b}p~5wQ~KN90^Phw3ghDAa-E|=cjUb^)X_Nh-oEd3AvzV%dl7PZs)>)B`4d_ z`%RhsUL9KIaoxd2lDK_Nb~E!1VKStvtPDR=zKKzKR7FbAqjI`*TkH$4{AtKK?kOXyC2hSIxfjp0pkGql<%4+MBhhISgrftccsVyM22~PW=AE z)Kcx`%Es`+N9)ddVvP}YzzpL)k6Ab=7DsL#Bi!VC)PA@Ee}9$d4zno5$0adv`EnYb%wlTem z@O6xeT=`6+EVc`m5Q zDoPgwkjAp_!8z<~k$Fzc)9;X8GIyD0;shUb9g-7LI%dk^FXY<#@6k)3{7KwA$Ob0t zv}w!n+t33oE(ah}2~mvzw_w8YIDTo&{zQoNb1M(f$||%_q@weeQNukaOjW50!@gm_ z1h&hzs*fvyAhfGKK16a^Hb+0wB^jAr_l_dpwbF)tViBSH!ms>@f% zztd%gMG#-Y%e){v8@Ic{ut=@}_Y^Fcvd@Us+ z4y-L?th@70EUJ#i8Z8bn%kZO437Vn;kvTXpOyn8z)A1&NxzBXUci}o2d{z}rF4gk< zX5y(BX9;w4U@{aPGoi>MN*&HgFX}i6Pf?$brAq{H1SyHLRgxr)JAp^QsTflc7$=N1 znLFYT^_0%WaG-``!9?alse6q-KMWHh+u#n7;I|3_C4G->_=;WLb|hi>2>c%2_-AoO@25Fwf&v^{{<| zdQennnfyn+2*r1wIVGXj>C62U5|swrimfpGKByQ;GiOYU6|t(_nJWo;Ub%K()v}kb zw#92UGj?E|W=cX$^ipEUjzGFqdPEmB-f;&3L#}aZI_uzdCL7|mW#}k*8(XpciM|(v z3uO>tGrAKd;dMJ}4C zF-6!otP_AfN?J>_%s=8ow#;--A?djsOA`g`pT{6GU^4VM@~q2LvLvt!>e8~z!`aTc zxJ^12hfqn5T1|EsY)LK>L$oMBLZ?7s-`%=Ey6g(VkRdRt`q|~h0<)so9~(=x8F~cD zvR=<*3Fe}#$TTZg;-_KbNy%;dFv&qoAZkX^CU^fxzUW!m^Ap-1wXxMVeUSJc0${qgz>!R zTtJsJ_L`}l5LqHLi!(LwdZBH5t1tPM%=AOY2h0i*3S}HMe(7=|&hz~?IQo>$*1HJ* zCwt<%plSYP2Dpb`2$YuYa64hyLvnY&guBXEL!5_CL!SLr`71o@9X{s7#g^-E4$63V z@Qg1Sj?ey;LX@^FPGJn*#k1wwO^fo>r>hx9=7j|fIkYhI;g)KZ@&>1udy@(}M*eCh zrUbD(?g=rwC9>UG?_E3x@sA(+t!5~8z!ks-@W{{(V@g$Z8)rSBT5h@1- zrKC^dsDnJ~1sx}ewKLM3^O7ntIuE5@ltIlSZe{>Pl(Iiz`!adr6*n^qI|3ZA1I^%h zBULs@9I2LZQDTv42o51n6&=d`q~=SUfy9$mD6e2`8w4??y4RmDW46=NMzZS;A56fK zAup_SfA_=&A^}&a{QNwMhcY2S8eMBpmM58p4GV0u=07*a67x^@!T+{(8g%;k~*maqOk(`LG1Jb689XDT#U1M#rJ zc&A-ovb&F^h2289>G&2iTK2$@CX|$r^dj=?f+0n~%2jir_iwv(5a0xlD$34;)=CJd zfTlRXZ=-X^MeG-i<5x*>>UuK-gR@>R$D0Zj9o@CTgF@5|wZ_bi8Vl=0Y$aJMc~!mE8p%GSfz&c`x)Y!=K1dB6(n~Tl{^;Teu2xnilm<^EKA1e%fcq zRdv$=7e9xzV|Yq{YgqfaM(pNeYeX(-a=zD)l(!cAx~!aT`bM~5cR*8aWd~GZ=%p{0 zF8Y}$lVQH#t4RXA__*QmB66Ql&l35e;Mce_Da{OnNm{PL@n}s>3`OKAM3W}yr;2|? zj;z0FshCVAXLaT#%PAcuMtqkZduiekY|_fXhfRU zRIngw>p$`X&mi9CSQhm&1rAfFvuAL2X{m&ApgV@3{eJ6 zXhKd9OnK+yV#b7)=`K_HEhCqR-I*$%UYhWLx&a-WJ-(9IZjx{VHu(mH0G^E@ln`E{ zM*w+jc;wmkEf^B7`vC-q+A#UMQp)JVVQrl%>*muYq$=xefGeYsPr#ZtpLmgSwe`H5 zq3b)x@;D8)9c%xlsj{m`4NC1fA}M;{x2Q}knu9E$*w$HVEV z&saUVgwRaJ@Tw~u54Fba8+-m95GEQFNvv8cN9u1GRcJr9mwhI%gzGkI3PgV%b_S2>P_u~ z^R|dhSO+o$%w0Qe!U_SS<|=(JbFU+M1Q@wif|l}7R@U|;j5N#d4;gI}gK^Kg=UrRF z<iB6Y2uqu^me>+L6&MOeSW&;|t~Rt|*!$&y!C5>IHnDKi zw3`a2#5cwa0{d9*Nx1zq)yWY!v9`6KSC9vo?2WArrG-biMs_FE&G4`w!-WEu?_cb> z2xOF^PIv>D3W(7`C{0O)JBIircB!A*tt_oZ&UORR7_muLU*3B@BM8+7*K z$Ae*8(s?1=^%l#$E-~GU^!_jWi^EMPHibR53qUTFgay|6%`ll70K=?JG@@}9iY@P8 zY;|TdOq{taHueRQ(Lm*7|l z;D8M0K-3V-V9zO&@l>L@pAhQkKq>LN*LkB%kKZ)*<6w8!0D5@1>iGm+6~uR`hHD|v zf88G`57Z8e!9-22e~%$P1t!-%vmr18)6|D?u8$1YCP_biPDORCQNRg71o!R8hb(GX zbYUXY3B3|I(~A}y`azAg6{uj}s;Qg*w#>C&AuO+I0!|wcBuKoAN!8)(P_6J06Uo|! z{yN|lFz#-T%=$P~xrWfsFd1$qY$PX^D3*m!B!@;1%I!^n#x^)7LM_qN*Kp%aE)l6p ziA_4^ppeNsww5XfoY4*&u~|M;Khq$NyR8>nDIuil5V4|M-~XI@LhRfTwTjAd%m&a! zuJjusO0$Zkq!Mz^yNU$vIq9Ai%hUclRE>xZI4&DySWn)SF%2|b=_IIzyDy{IR7i0( z7rvt)%41qY5O+ZJDx45M_@YBXQ-!YWWjM$2{kv@S5Ma_68O#&5S~)3EG=fgD)YCQ< z(H)u^iyy-FQ10uk0*$~)MLTZ(!p_#|I=)`Tb*=qic(_0*mj3ot!A{ z-*J%;7Xc7#&Cv`Gmo&C$tnSRrcRLHKD379-3Vto^1LEa1RjmT)9N?rhX2D7bZX5s4=P zE>M)_Sx#9c&qDnjPOIO42XVN-n*}w8Q*;yRMy$5OFPRhACbv8j<+pi=WKbK&5#SO_ zonTmJHLQ&}WEk#+yI6+uOGG>3_K^tcS}}Wki-Ovc#~$Da2+DV%q@2675jpdBh30H zxMp2=yD8+8rxFMjppr6T^vr{ZRwSK;dzqPS_Cn&O_FbC|iUZpZ!X%u@v-vWqJ;rX{ zIJR$4F)WJ@C_2helk0~njF~+rEzGfZwxO`~1{*Nu!W*})%=_2ei>nY48C-rccm6=B zO3Q^#I>apzli=;>9U;hAjNdU!hM!K^R|#{a{}*#_0aaJFZHofIHE3{m+qk;G@$~|@J-GA==tvwpFy;!!#n4^!mXq(x4 z9~y$g>qX^{h%wGvOQ^?}Xpi1#;e_k8#rQ#(Oai?o&J8<>DJl_lgl-%i)Fobs7rkJ&xhX1Ef#*K+u0kv zEy~g|wPkWGs{39sYJW84mWEFJMF;z<@{G>4KTm%`g$sIm0LvC6M`(>G?vVo; z$2@N+J5OEoZBzg?u{^~r>MMqYh6dF|eiAHNGxp@_u)G6tm-=eh`Fg~Anp5}PIiUnz z;^F~^@nb!^P-u487W;#vnRf=EQMEbU{iB3SA3%?8J_;X}`2IvPH+a3e0&=@ZwQ1&r zx&mYA6eaIl2a3qfub@*?bJbe>ZGwmUVc#valL&5?{pTQG&)BONc0ma^3qfvxAehiz zSrfm7jZa5@m6j>%8{*#ayxpY=>h{ioc`NbakJt8jkD4q!i9%sxT=lfy;8iwX^xfeqtTgoD6B^H8@tkO-B^m@GC=<75t&(Kpf zrG**tQqE^9j7oo#qnLI3ceR?LDw z2VO|=IcO;iO2PgsuB9y&s1G&`=C4+2Y0JQ(1>-$m;qD{J3MzV{uUJ~?R)K4H-vQUF zef*iTCqk&%!8lz_=(IO3kJ!kq&j+hti_i^V^Ll7R{{HD_3XY3)whjVUn%@XpF2Q_F z0pu5k;T?lkDErHYkg>VTWq&^=iV;g@SFUsuj)8j!f9u;%p+1L5UtnPn-Z2=MmtT)W zJQzPbdOu;&EO&ok4EpFShs6M19=sY&cqc^yn_#sz9W9>I$??^=Urk9NIW}2~|Fsmt zhYzL^Vtu1($37MJ*D}+cXTD!*yAl6-&H0~Axc))~fRTlT9>_vxXJn*jVgwpUGSjoO z0<{E~=o$Yl3I8`Mo(cS=a10-VNv#b2!D*$|J;!5neq9r z=EA=P3zS)4X8jiny_YNg2<(4kNcQqBf86OG${c?sc=3DMe<^kG4Fzf-#S%}AK-&ql%wzdPdrul!dR;oleye+Jpho_|U6A1V*eiT_!2 zL`YafRFp>8z{U`0hXi!C1}Zd||I-xd?=bgLs$Veo;tTsrEdTIk0%Gpv=$~!zUiSPE z=|33UP!~^=eJp=O@f-hUYWbtQ0#QzL}f1ZQ>7J`303V)ACPM|0C zGn}6dujzp6LjNqi!u}^~C^kmce*%()8E9YqJQ)ARC-!$h{^Hii$O4=ipWgxKbqF-M zW@iT)LcQGMcWZ0bmm&UT2>(3u{ZY$5Nw6@pzvzUpu(Qy!15KJ3ndyPu%EG})&-Tp4 z{!0;-KTp0u1r)|-nh)^YUsPDw{~W^$(dG}h{Y4C{%*^y`KxPn7tAz8J*Un52lw@IL zqUU7%cOkI7Or!sp|DR{o{~7_uFA@BCl6@)v{{rpl4=xix><C7o`6x=<-jWEJ+)ncNaVGIrwq|z;C~@18e+z6_76_?_g)7Wa6X+kQWgHD4V!B z{dS7bZ!f~Xy+}SAgaQ6=$^yEF0k0(lYTCTKyxfTph?|$+o`IoA$o?A%iv49kfluAv zcD_8r2-#mqTZBN!0nY@E?|;)_>qTAWe~Tc+_={2f3s>uJki^WuLJyQKU}k2dXLv3O zGyNa1`X3 z$Db?oe^EwYWBxD72%VbG8a^nUZzi5%#m8~Yk4Eh~V6q1oD!Py&rwrYvl8La4KCg|# z*-F+8S5fCJGwFvZ#C=|m;7yH(X@9VHy}ciJOVrd%a4hTPY45t!e|d%Qbmz{U)?GNC zaq(HB7G^)KF(di&&|%+x`}Ao0^qVWUN1RrB^295Hc3($V2lY+}C+Aq^dB((N5K-s^nAeI!HHQkX zf|H#@q_g)x0`y_cKoaVuF`Fe}+Ey|R+a7Vgvn2iGv3+mm+s55_vmYAI_?f02D|Fjh zXwQfs9erynkpf&>4HB)xWK#BL|+Mkn_kp9$Uo#o`_w0KfxnR+p18Z9bHklO^BkUObkXbvdC_O%I+1cw)A zuO|d3od9T#3i8xg?hcd9Ax9J2VP%F-`Rvg%<1^xZV(|E;EBacK1nbYqAH?%P`HT3S zN@|dZ7P|Mb_=QQo{}O&Z7AzYVfI`7b*0D&5DWRtJu0I!+S;I_xVekRTuVA=+LMjC& z%PDM50?LPE>0v`^GZyMg-azft(3eUlJOhF&J#N%U5nF%ehyroZ2gUjd{;7kN-Va1u zt0M#N`1ae>=sJhF<4U!iT8HdpHr4Kvnk2O*%lxUsCsWOGO_}gViRTaLU0EITpEJ;6Qq6;x zW%$!1*FV}ELK_d$+AEXIno3L#9q~Ne-iR(e>d-U~Qi;#GFJ-9NoxeU^6T@P_SMP%6 zNmJF$!{s;tOp%7@+!*>o!zJEl$wERK*Fqi;FXgZfO2x0FUGW^vZSiiCkyQ{&Xfy_p zYLY-pgXyyefY3U2;HYj0=_q_R(UfdEoHO-D3E4uBPW#RVCsBCkS~=DmRUx-1g8&f5 z5gAy|3Gfd$nNz{+?t_}6ur_Ghl2ozCHTO|D6R8Z&$CO))?N2xBw}?-rXz-P;n^Bc3 z!VABY_O^HCyR%J?mbPXQI=zq($b)mnUksIiiHeUh%rS_f-J|U1>wB9trNE&|%Lf09jJ%WxdwOB7p0y->DowV7w&~VNdLYX*T80h`w1ikXjc|T|zuT zrJ|PjC=_AeyvjAMz^_s11S$vSBik$pwBRP6yiq#WEi$>dMNbb=(<#C_z=NA{Xl;b~^u6cJ*$Bha zIfDITHpFFCOX-40+wBg|-P!ekg(N9yVF{F`{6jo)#wnX3<1(@a?g) z>|8(V5kAnl2w@hJT878-wX=MFz;&4>_@nZ<4|mZQR}Q(-kFu`Uo!IDCP1v&`Ay_dr z;RwNnp|JoA^LOvD??y5pgw5foM;%SGbDN>nM8@fw+*=d827^ z!7%3C*7p}ukp>J~7MD5;;Gg*f>Z$LKhJO|Su6-7l12(5xoIR_!yVA4j+^Zn( z6<-K0CS&DovWXl+jsX>17d=oeUEVrfNW8q)oQ0sgs9Y1whafryowf?obR#F4E|h+c zmWLg{u{auaCrnqyu4W0*LYz0*YK3Jg5}Tu-Bce2kpMmN~o9M}~fa;)XVtw7ZV2UA; z6;@kcK`PULyVl6 z;sma{OIL@|%o7v_Dr4B2LC65eSs_g9run48MUTy>`b*Q!m<-nfmo!Lll?IVrQJMzo z^e7j5>lid*M1x#nx*xDuf$d@qF2J(@v(Zz7N}>R(ybCY2&>-dW{Knan=;JwL?igO@ z`kwQs1$X6fRs~iX2YmWOe)-+gL!wQcUFl9&wX|`(qxO`aVEofhK3l%ZbPCY| zk!S_#rdM-37@>Ssnn=o5%FC=cwAGkVxEgExA`_pi0w8-tc9E@9iD5$#wVR;xzbG~l zhw9^0_mEUa$UM2PSffKh6ERWj%eV5{Ns<_qE_Ygnk!`MZbM>HXr?Su`hfNqQGLU(J zy_NA29EStTt=g=_Zm10}+&~cYx`IP+-_lemq5}I6ra=-Ax|2(Hg02^In=~E*hVMII6GvZN7)y z&i*hVTHV!g)aF?1zTF$t@kG^$ocs69=F-^PC_E1o@uxodf<1TH#4L6%Ei4on504`v{_ZSR2Y=srPTzs+}~GzlI6@ zK719H4jD%eGr=wG^MPI(X$8-;VWiJjN0a?8AY^nT)g&( zYf?Vx4}-XP*}}}-$6I0cugu*?@e#;-)M?zzsTMrgQF{Cn>O3BG*GKK*{CE%VI(7*j zHVz*42hQ#vZpY=+pr9i9z7-5S`JCq-dps)}U_1!H=YR9cFM_2PQk>Ct;~K7Gu9 z3K{QmKiN&Be{UNbVOHJcE+D<(BZ#3qT15dzrTk%UbFql(@6GYH+l_}-KoyR$Y4 zIIZ{UaX?_&8J))v$fv(KJ;pD{JRvEI z%L0RY7aZJzSpB0gKU1rT5m&Ipo8Y4(tsfSW`LR#CTHH#k&&gcA^Ao6XosuaC%ps&M zrobZy&?bxQfQ7KL-R%AQc4Yt2>A@zY!ed?ovynJDFmJ*8dE?!6qCE|=gs(drG$T=I zo5?oDg>uHX(%G7P3NUNk!gSN=j#>nm3Dbj699s{dSStnUNb`myAca;%H)$uprS$u- zc`HSALVckS@h8e9l-#vELI=%v(}WWzj99dLZcj(wRa4=Zt1-;SDIK|f>sXd=1A9UDO40+ytu!Yp(%x1{JGCATiuaeKieT~b5 z(GQcS0n-PuaY! zbCWxGKnL}^%EUXC>JcJMC!uqxtQ3AY=>tUHz8?->Yg_umJ_QyB=6mtmYZHT(C9!Y@_R&Ak3 zmnaspfFEeYyU=x)M(Q*uVGs%XLmvc3Q)!JxT(v}gtv9aBVM`ZNJy9ksJa(jjW5FRs z;ez$_A*#TfN?gXYTk^=+WKbPnqZQHwn-bYq=>BG?fz=&jiwHsP-7twDh3XViLP{A}lOR$~kL^3o8B^QDn$td?~A_ zk)+UeGl%n#6es#Mx~?%N{b5qMnQCcIuIQ5)o-bnilz}K=c!RlNjcTrYAUOtT+hT2Q zxXWF#^BkDI)8&YVDAoRC0mD9HbedK%Sv2Lz5Vi{V-^(#X_as-GD3WQBzm70jc3(m) z)e7rww10^bQKO$MwW+m?^;{_KkZqg^$a}0d2j7)KvM*Wb#T)D5{U6(^b z?Xgaa@Az0yuntjM-rnwFzA|24-BO3~X+{#B#Cul6U8MHzyIJ?t`-I>blX}XyYxOHt zZJ&nsNPSm1>tj}wdCAu3Qd#-(509te^1k~AhY;FXdYwAR8+Kg~qXz>w;jiWJ`Fg*F z#6mA=e5v-Pa=e4Jbn+KVee?aQPhu2msWcv6f!zg+#v|kaJnf757Ph)LS@p~UUBB1+ zVcE}>9{k(4s($QqKDC0|;Z+j`W%d@U#})Q*M0Zm|Z_{~F7Zg8n9IbzKYiJ?QqW5UP zt->u=$Q9Bsz-%m0MgUV)Nlvpe0H>aoxFyg=;&j+{+0x7P?M)r6QgC67F(!^=dO}v zDIT2u+bwbf38g`k6Woq;^cyA1)Sx=L(8i%3}!ld{XXp4ChSYp zN+K;gu!p0v*9Niadg|w0a+I+ZPvm89XU>ILBT2t>k}N49SuR?{mXIOV&t{4dpsjEw zrQse}D2Ob_B%f}so`V(OQ4v>tQpl^Nhz}JVe<$8~HALnLKOS5()w$P4cz(Ko51U5a z?jOj5h`|}+o?vvH|6KyPcP=nx{`BpUW77&GWAjX}l%*&E@98-6H;iK=_B3Y zrK!oyO;5~2%)v!#q47Nn6pl|di&c5+r@>b5R41kh6Ksg4gBK%BGSPI4LelD6T0>-n zNYy$w;%28q^N2*Ka4w~V(|B{-@lJ-$W`@>q?g*LkvrOY+99hz;=wSd%Wo#7|_=?nk zH5KCegA0-?G4i-Bqk;8kks(rBPlBpAkN2&1l(Z;5W3_TZr_=q#$h{0=N0$q;e7LWS zMrRa`uIFoANp2J|O(lnv)yC%teHh@sP_J?af|@WiD|@qaZU#O0pwQ*FP!Wmf4)#Po zewd*~heP9CU$GTkG>vX`%r+~occW6@VLNgKByk=;wILqyat}E?3+=;UafXg+df&fN;^rq<+)TdinxyGM-BnTK8Z zvNJ)-tnhR!&J_Zb)Ru+7`>O%#o*+Mj<-6VJs`DnT4}+Hld}8=}D^(mW!W@pD6lwxE z-Uunkr5$LG!P&6{Qp`$L!tn`liDw%%$u)j2tzT%*{&cjZ!@5#!16KJyo#BfC0wtuZ zU~Hl}-D^=KcMNLd3_E$W3X(dpD8K%BOqk;35HqY{{u!+$ZF>ewSSz`zE$yACtkFp7 z1rN0^D4MB)bT_D( zjhVt`v4m#wkPMLzuRcpa-nfl;vjk=h3h>kK3&%Gsn&lWEd$sc6a+B6HH;IzA^R1eA zolcIj1zA`-#&=-4dS_92?1h_l+KSiJ42DQWm#Q-HoDk^Sm@%BA0QHux8EOV zzce%_$BjMY>;|CTRg)A&$CV{GWJgoQ<8Y}IxWL}P(vJJuy*~Pe-sznw(h2zrvQe$9 z29Ql3oA8>TG3@wb1tkQl+|sCP`2#b!Oqn1Ns#fJJ#$)RQOH#P*xc8**cj84wZmGA3 zSz8MjXkb-S9_-R}mFcaPm}GcZ7_j=HlAKiTJQpGsHE`O7=`(Xw0U&&&*{Jq_yyg6W zTsD~S*`DkUUb%D$wsHin*?3x~j?h6T&STUVJlJY7GF#4ufO@Vm?en zi}9uR0z{t;S||ZXv^VFh!%Cpdum|p9ODojlEX-&mc$LxfGc83~+G29jl! z-xfnj7=1`h&&CA38T}l>=)ZUdxPu6WhnVW~8#NERfEOeZ!x!r9hi&~fM;Iv_@Jf>i ziV!rPv9NKW#||=YqEi&f>4d%V`h%%UF1zgV+2_M~!_HvahE~d;4*0Q<;{IV_M`f`w zQri5wy;^V)vvx6d0%2t9IG?8!S-c{`*JE~QOoJN!3iyViu6}Cn5Y4^i)^DsnuNvzP zjwSR_E-?%@?AK8x3+bcYei=$>84=MXLpG(W{IwV6Cd?o^In&Q6| zm^P6$+a3}cTKd)du{A-RFpopBZ7iH!hP=ClkPCd^9VL@wRk;V}cOfF7(p8HWX@Upk zQr$96%Mnd{E7?1r1^nOok)j~_db4Fp9m)LH7V7W3=~W3=ezQI5D!qB!LQF<)fD=41ZYRE^^S|j=pW-94d1yv z;wR7vks^G(c8dNAwXijlre>hD`~heKzc-0-PSm*PH8&iRR)Z zaH?LZhknx3+;Y zb|ny)dH7zkQJt<26Exru4s_iIQ>oha3hmp&^+kMOO)O_K6*kj!Y9H+mEm+ebje}^F zg!RtWRINJiN$!tpHD3GJJwAZOg7DobIW7~sAsQEP$v_<>FG|Dd>~2GElhNOqG~y>4 z7hQg?Vno|Tff*c8*=2Km&nzrn2HSd^k1*R_a1ROXYJsj;ZoSl8B5ua7rnTV{@Z#n9X|joD@1Juz1Wj#-Mt(Jdzq+B(#`IlqjPFZ94Px0Li!dMBMTP+SQ4eM znH!p_RYKoo@fcbL#29(4W!Gpagq;hQBP1+NAj@OxH_Mz~ZBLwHi?)k>8xjKGBq0V8 zpMGjoxg^AiTJqHTjHYs-N}i`#zOB zLD|&p{%v?0ft$Js_Mj!ndei}|OUjqOIn z)76}bTR>gq_o)7pO(|ENhO?=eT@v{xbIX}vtu}WZ7F)*Z8I!d_YzPNcHJXH1AY-|c zRY;$o-VF$lyV;5>ENqD6fv8~;g^Mb@yVyZJvGa@YMs-9h#LM{KlMueP}(wa4N&7odGG7}M3$kAngc7g(&sDDBbjUl1ORBNk43-ZZ!okxa?Z7jntbMX6C z2h-{z2Y{@ZW$BlVmTG$*9tYkjgcP7S# z8kh8b7Hdm05j!{B*Lv3=KC)@Y4m2DOXMs5$jZw{ZSo&(~HuRH$waYERy2l0;21YPq zZAL5?sXYlxUztKJU%8g!84BL80d((kKl)_+ZnL=2tDGL#kx>0(0JKsp2S>8iTJ`S;+@A~->({Ury${y9 zJm7ZEodc-yprsqtXn2OB8Jfwvc{6jr;|I0$UgJ?BJbhg{$Sv;I`mRe7rkLC?P{!!A z>1D-kTL;ME+Y<4|foN|2Joi8wiro?Aec8@AbD2GB*<78Gn=6umRD#VJ-eOOO9Oy6( z5?DuqiuRg)6DU$D34`S8$9){vDY)nqSW&8C8d!HmeHW=D(oyDh)T%Ts-RZwS2pEf6 z%k56{MOka@6(U6}D}Ri0+zw^5aOa-L_Fo$tf; zx$NBCiIKt6n!3#$j)c48K*yFw;kS;`>hqip1FrLSC%<;uYazTigX0%_50~=PsSPvZ zQF{{;^V#tpqWRHtR(o3!lI~11E3nw)_8>+V?XmBOUYnUL%gad-ZR0Ju*aq(pCwd+( ztO@PglLGOn)$oxU5!??94AUAm&~Mdvm%bh?_E~tLFO4~yG1S8<+LbZUIb+wX=-tst z$=Bt9EwiCbX}#yR@za5KPA5KyckHbKR@4nxQLNO%G&`-!J; zVcl|mjU?0il;Qi9l#yP8%E$eXmR|m(+AY!}_X(TgW3cJTwJQUfdA@gm_}J!HieR-Y zky>sRA^pgnIq&t@J+#F3j|1W^mz%|MCW9h@TE|+4%Yw!CAyX*S(Utdf^rraoJyHTu z9GRp{46Z8q1*^zR-+1>Z%Nr{=#nyTEOUmL#W3lYt@;D_l+6vRxpmr1#kZ}sJ4$Wk* zhrkyRxvB%_2ASL`Ph-J@TVz?KuH!>6sOlmHgtDKQJKJ{>b&UghL(!9(W{1tY*4=hN z$?}c@O*!5a+TT|%sTpeO<>8U@ic3%vJSgvo4f(9<#YuR4&fZeG?(~ZacmkJYbn1sT z`c3+vgQ`PFur(j{RfS5q4t#o?mm;sbJM%JWx$-u=AusH{I{gW*e4_=P;?3X%{E(V? zby71TJrEZ(HGFv=X>S8#$>>s{LDa^bl8=vx?}<_4Qi&h-ZLvqS?pm}q_tm`CR?^mDh3j1h0Lol zUPqIWeo@#fH;_b9<6n=m*?bT%9DotBjPY^~$hpEB|U+P*#hKWQ&{iQ;*$1YmwEWcRAdYOxR>!!Ve zlwfE5L~v`$`#W&?W4pK=xByJT8{H!0!+C-X;RXrBnD>dPw4!VwXSi&^v+1qq!)qQH z;t0dS{c3u(%0IB;yn>Ict?bjZ;pLr~^IHvYZHUl+e65f~2b1Sl*toqzQ}2r+;K!8d z0VB)|vqLe1JO2qz~*w>2#T@p^?zZ>LDX`RI1!3sNUl-Y^it zo8NAC+mB!b2xqh}nNipCs_ECw4xFUNw*2x*u$S*UNrjSW5K1>45@Dzg(`K*D!9H5l8dviacI%-sG2^ceg{b9o;nMbv}0($PlMAG;3cu;i)xCcRXk z%wp$Q9flo3ssy=6s@8F3ODZAX$Prdhr@s<+F__L!x$=fEhlvv>?_*sGio5fHa~WDm zg|`gX7dE_et zJrBe}s8{j2wa)2sRv4H{{hAGAPgIM!m%((&Lq2`dZ)65rIjTb@IhTcW$;H%E?Tz<^ z7$UVt(Gw5D`7oJ&G14_}!hQg{Tw1<#Q|*tq;F5)0-dfdfkRev2SJkja*sy@HeJomR;a-XRVOyb7vicWfH8X%3BTuLmc!^&G zznEtnFRJ$Tx+DdX!}tRlU=v$?0m7Is-cR&XvhQW<6tXG5RrOl9>};C}q>ZL9sao*L zj55t0S~M4j7I1AHCh(I)ysq=1$8{YW(k83E9SUE(P)}y`7G?K#-|}AmtiRn+C&Q zt1wQS<>uyD3e=pxqaPlD)R@xkmDilSUh!1vJ;P!nQIU>xqLE7aTJ&X!0&lNJU(-U< zb58;joK9uPK&0@@CJVH_tV+t0#@HtN!p{O!TvNF|@uI$4wIKVoVgc{+XR3rds*SUC zVI+Ffz@`<&EBe|KWM2Ev;?qUGK6u*K%iFhl(N5!-sf?0-`P3+`+m%o*)1Lr^{GGGqcMCm^TL|XoggNTD|@QWe4ke&i3$t`A7X}CfF+8s z2hA8G%oq@A0#zoOWb}=i&#AoApB;y?Zdzuad7j^BzA8rlxES{n++Gl$Jxwv{Kuf zsIksC639^MG9j)gAWP&;*xhJLAj`{GcW(@w)u3Zti61McV(lMLEnD&j32 zm^?@TGsd2G1=wf@0=LyYiSEgkpmVP?D3MPp5jHwc+@@IGh_CQmA%>hMQ$KKrsYn0J z=zsmD-M6`93$i_uy#{fXYylQy_v|Lks_G6;7;UZsJ3seMIZxxfr$~R4DNV4{7j(G^ z=&vcdek8u;jH8(9ZH4M=bkl&x$T~C_IV-k-K4-%GO;=m&J&JTgUT+RBN|oAod`9M%If zk8TUE7j3p3%#}}|ZZ3E@>NN1{_e6JKw%#hl8QQ*n0-wU?0m>NYMji0hWe}kUq?HEE z|45SVuMJU|0RB{&MD}~JJewcb=hTIi^C1`Pq^GB4KL##vXzs7M^Y?$i zq@Lt)6BP_nEsALZM;H|%r+2h_x`vnh&izniliMBw ze3atWKc8lWyePE?KwDnBES3{%CEPtO5_=COK14Y1>X>_6Lh2 z4uZSTr|?GaQ7n5?Y=9)}Amecg1yUxipTK+4&JmoaODH?q>-F}Fw|CvRpnKlbG7%d; zTe6t33DzK5wyfWOTPSW1?QTYGn@Ys0D^eOt)+J>>zLc!=H!R9BsU#mIl*urQEe1Q4 zRjEy*@NHGH9%(QWuAyqhtCxs5OOZR52m$hAvkvrKv*r9;he-4b_UPhEup&a z9k)%$HF}%n=tv7i|H!3UkNKD(u4ac~airJfu_ar@a!5*Z$i!7;m-!*RX;g`hBiphG z$L!i3Lbz5CLfJ_~Fx!$5UYJ*o)h7ExIupcMAy>%GaR1SceA=b8V~I3kLpM9tJLoTu zwFv}9;_~)LA+lRqnA&mQ7zUpUqZ>j1zP_a zwot)?z)!Cm5*Egw!cWq{RiQIgYq|q!UJ^;7wi^BM$3NDDX5u}~0`BY7vv6-TzJQNN zF2tVcaotdaZp55+HcC(2cHu~7M5p;@T2d#=xy<2M=PEgA+(WCK7=5G@8IVAQ{n}?3 zWsqW09VF^rZykrnVI5cLq~^KEGlWne+}H6z(1!8VdJOn^4FM7~lMd0z7Ci0jihoa< zSb|b(J~O7#`s-RIIFVF-7XB~Lkph9Xy!Yryeyrn9(w>^}pFzKTcD#5W$PAq4deRcL zX_D4WYF0bNw)Jbc*uzB{){@WyDH#eL?sTpvNIu4rgu;~mg!f|~q97HDmfYcoq$5l` z6q0amfQbsQedO(a+)_cC_$+$%n9+kiC!pU)AHT9IjFoW(uIQ=oi7Rgfi-}nO9P3T( zZEAvlzl8?2W2@+@0RnTpB7(S=smfCEQ7ou438crA`r@KiDvR8tNa9yY=^aT*yI@IR zbN7;xxN;jfps%EiJrKexCLYQUUmAeNRz%uRz#AS!%^w8#B!^1^U19DzH33VV@3*4$ zKKicse4z^ht#`0(9@X_V*MkzFb)9sRerQR0ck?cAr=@bOl!+Nc1yZ-KGN_tQQ)Ggt z1l0Azs$hFC$mptSFF>fKbbjX4jo>B3u}*fS&pazNNtx!_Gh8tIQ1CB_LW>sHx#U zyL-Kv2`#9~T&O*tmAKq)134_g)s#hlImGYX9CeweUh_b-(=sB9EL6Ev(b)E&2uGo# zVe57@!Um;%qnN)S%sg4my}Ta- zUWBzThV{+D*tf(mcY}oPYzmq>)CC|!U=9*j4#6+?Tk=cZ}HoVx6kJWYZ_mjw^pkLHc z$1u`X>7~OW@QDwn5tpdzN(JY4p1`KiSZZR)56i&YBm}uc-^`TI?I0O|IR#%+Sz>rQ z65tpw5pDI>;3w>`(mwSz{Fp>aF{>U=(#G{wN25{;P+fm_sS7nC5=Gf(WJ`7RepSX5 z`_c?=mP%#2c9*PY7M6WfGwlfxOUl4 z(0_1Jfez+>K?7uFVWwwfC1e9K64^NkS(rKL*??p>NxS>FpfM094PkxL+8GjEuh!1fMB#f2>*ktJr{a z^k;K=4vrVUcuqEYAnTEplk+c);{O+d`^Ckc?awsWzX^_={W(S!Ab*mPgEq0WKCMuFqTa1}})`f8Lff=4D~vWCMQxMM-|KmV2Rj{#{9a z%Y+fwZorZ-Gyj&!OJ4tJN&fdT`9;d%g{k^?nf%tNj6kS9gNqT^sq8>eiRZljlS~|) z9Zcz5%#E#IDq?QuO6O!pXKdnVVP;F`_|AgP2uR>|u(P%{aiFuXeL3;3=9*vV&@Uw0 zzsunFS^)d_IfLI&^;F zHt(!W0FHLf4n{zlf08ikSdrBRsKSm=S09gHst z{y&t`FGSxL^7DVAO3#m}e^%)w!T%&BGYcnkXTz7;$eP#~H~}GLU~U0Wl9!|tlNT4H zQYyD*}Su}eU@|J07%*byWpLjwSkifp{aqjqY2>Ob6S|0nK@q` zh5xkXJjk*$#~plldJ~YVN)7Gb&)V}oXexY1fzms9=)D*cnEPum?+JkttAhw3`tX%h z%AQzdu?L^op(fZVD#5yf5i=rx_=TX+JO-l@G z<96ToZ)7gl4p+yH#tysmkdj+x4-U*+O$)jFVr z6>5-!1ny1bn5dKhTLlAdUI^~0*1iHAxweyR4##_&Ezk_yDFU;rdf{jLd<#v`)o}a? zI^dQ7O|I7Dt2PMWra6QYjfaSCGgF$hsA#YO=Jxh>eSQ5#2o&(x7Wnfqt>)yEl=(`n z`N>Jay}l46HC0u5dU^~JG2j{9fQiaoWSi9yW2P9s+ufldXt`?b)+wg$#)gIl(=qI9 zLD_^1ETodED#U$x;Q1iCs^DQAwlD+nvv1c+v>aqLZ!*^I$qPxI& z2?@3u9tOL~+1CWCo2-;_92EQ-jh5(@73JlQD}}plNo%_pcaMD{Zf`(9{21b#_t%~t zuXrhJ*Sd66RLI)OE-ziWx>{S)_#Q7l@IL@Yd#{BEn!t3r^6CCNzb)(Cc8cCv^>rB6 zw$)Ja`}gm8*iMIXFJGg5Sw$K8W&{jC0D7xXi~nwM+`QFr0Qk`(VC}BURwGf`X|UOL zb+dqMCeLo6M(|$J=^~#6aop&XYn>oD!Zu9P^YP~U4YtCEr|Us}TpHy$x(JWc7>D2; zwTy}yJMs}MO-;>f0o-l0Z_cC^AFl@h45V-%AcQOqQIY?(;)2?PNk!!S_BV6ZNwIHwgQ)QaF?vA*TR&VEDRpx76&sO`8 zSuR!zIZjpHTP6s;^Z}g4GmekBP7j;c96m~sCwL(otGm12C*<63eTu>%@VO=;=!$(x zmny=*z;Kh3u{fppk^6Mn{Bd&d=?NwFH~2y`e(>Dd&#?6bZsU?6sstn$S2Xua!?51w63f1<2=<7?TQbY z)XG(YZ<8u@JJVxq6)MpcGvJ@wl2B9K$!Zv8gv9A>1!>IfWQdtGe)Bgk&!j00<4`7JWSgwjt%7?n_ ztu8Gu%VzYI-ePlcaao#KM^-+|QV|z_d+G99NgX&=7WTyj=-!upH+g883Z-`Y87kvo zZy)#*1i@OYC#y!kom{B_dr>2S9D7l}6ANK5tgNgo!1iuzsS$eibM9`4oSf8cleAFq zS3)1V4ARuXf@F#EfxW-2g2H>@5&cbJW_PbuBTqegO=5(5R?)U>s3nA()~00TKBmLlRL_b7sesot?c( zetZ79JY#rPW+pQmo6Ax5j;pCjG^*EoXc5&F4 zsCGNPzvcjg1rLt#m9m9P!f%t4Q|feBjaAfv69RqveNh7kMo(*@^bS8VY_d#J%dTs- z2}2uUyENSt1Viu&3qwR1BJ9lU?FY}WdJ_A#3as9Msr$=wPRU0y zy?X6eGoW|VRMqpfW8>oDVq)$f1sykkeEo{{I658{Y8d)%D~!*4boQXL5rGgqQ+EUi?q}h4$f>RMu(a$}fQwCVEfRwld?HI;8Z3I*A|)jy zQ*?B6)Vst3!KL6=`~=&I-LWRMIym9eq0r}lqrc|L?k=%Ww_gU=;o zZ`cnh_$>8SsE4T4&U|Ox{_vL1Uw?0Dn*%ahT6mkmrm(&1i*86dDL^V>k6(b#qUZlh zFe!*3c|2B3zD?S;gL~ozPw*zJ{te9Ju_b~b#F+Q~{lK3fpTFHGn!yBdbF#pA0!89Fd95G(JS@jy_JhXTt0g^pTDt{~DG{uD7r*{+(J zWMyQm_D)VtYolepho3f=2XX==^sTHyznt8s?P}O*A&ydjD`!RUOGZXUo}Qj2x5QjS z4fGpVaD!R|A7SZzCZYKS1s=#7@JadA#l;_8Us^GmTUyvySr4jmz&j+*6O zFtRTz#0eAKFTY(zO^a9!7!Ak`Bio>FrcO?WXQ#*eAc48;udj{4O=@jyY)U6;l3yzr z8XAg=i$_GMBTR^FJKn&uno+<{_U5nWi8{%L^k%y-a*F%_n=%Qfu5Dl5$cU!WU5~`; z$n6^)pP}2X5Fz<*ftSF2N8Gv?saV#hT6SZzAP3HBWjsw1aX&d|3uh_`h6&_*!<<0M zA!ZpYHy?An{cP@(XH|dl!6mdi7+8x@Q6L5s6cnK4uOEYrHg2%Kz8++=e7)Ky2wou} zAs!xyym}>&x!0r65Oaj{Gm?1H-wJ5Bs;<{#pxa`iDJow)?-RIzOisS`m%CsIb8|mL z*lqr(^YpwF#=(aSOJdqy+UkZR6=v4X^PD&ax@}xVdqZ*kobiUxb-jO#AOLbvYdwsP z2PtU3It;KXi<+LEo?yb~InOH?H+vu*@0{ni@8(zhD=55Ep&)`>(bd6|(1zaOOkqez zPE=mo_PqcUXr0%k;bO-BipyUi@<%WQ`N4efuG|*5eyNlPEyZuf4jY6ONcDzS&(+9| zIUWtO_|q7hph@7im^QV5E;e{}+d1Qz+pP5|)%e@IlR{i!sl`}U-^ zxY*jts>doliE+&)UIg{-5Vj%E z04kHt$i!58Fdfv>)8pys`ThG7KjbH7)UplFy=9Q_mxNZ^*-So#zhN ziaW@UlD2xJspt6kWI@3Zwp?$O-lf*reZ{%{0gjSG6-Fpct)xX5QE6#ry`9<0VBX2) zsdI-`oTxs^8xh1&Y@_0EW49+w)-|qZUj~PVhm*zqoSmKR*G6}n$t^w@gU#aT$e94r zZn-`CSizlk1Pb4d;_m6_9~{)mXOv?y6so|A z+8~IUtLQb#d9`@e?d1kPSLqxf>NYHXoV);E>erMie&q4d0S^L!IQCPizSFi1nkmuv zZWaErC3wp!Z+(4zaBy&bejZok;EnA{C*LIfaSE1n2vvId(AXICBh~3K2(7-pK6}mO z#l=r@^19-u_;7XvX$XY??dz7jtGF8XktuTVdknPrsg|&n20x-t&~1&t1Cm}aLYJ|B zz8U#&PFE-|QP&;i0aihPYeQ%fO>g?vYDw2NW{U_T7VTSC9hVhi-W$b?qP+}9?X__f zg0`8pwddhaMMi4eT*Sq%d>MSOQPp4vaE6(s<>pt6S#U|?;bxo zGsM7CP{G0=>wTv^QCO|;IAU|Fg*xcp_irHGEpR`Z}nU5Tg5IR&WvE-HEssgud|4Vdu{hH?pQyY0X4W~#ky?wGaJoChStUSPR_Eaz*m)aNJ z{&x6H6uQ_?%c{U?J2QQK{a3H%k9Jqi7GMI8w8{z#EoYjsSp#j&9335vjr}R;M3Hyp zsSCT11lK*cVk#LdBuzw~FpNdSbc3O%eG5Gy)(m56gIa5SPPxH=`3QbpP@rm%eWBCb ztrctm{@A~h`7jh-b9S_1QFF+cVZkVJve^(KdUg=UYnJG|oW+#nYQ4KW5F)%sYBCVX zrvCZ!=hjIXI1ZH%KNpu+ZzLQ>_GD5%B8kxIC0L3120}LG=8!JNf{5DuR_Z(3SuDY1 z6f^MFFda@(rh1NW|b-oC*1R|?yEU<0#F9Fx1M@&xOIKy zmAvfiY0lB?tSk`nY1E}@JbAbo7$LY9)hn8@6hGqHBTy@XH=uRCfk|Th2Ax+BMBJpd zY+RQGH4+-01=YV*4W4fymvA&yaxAn;9ahEgl2qZbWz*+cRC`W3pVM|rKXW~Fz&C@q z3?qj^1$=LU?w7b!r#Y|7_(XZy@V(WMzJUQyqnNO$?d|RLwje7VGL*9bHG@~VJ`A>T z&ljJhIne(8Udr*sL1}6?`rd?u1lnq+oq4p%<>7|^@%lfCJ*>i}5rl z!uE%A#9s4}IRbI&9L#u1J#|ZJE-sZa8^cB7X=bLTH&=rXSHv-$R86KBj4|KBuK9XW zeL?G|%C%Pf;`7OA&NO&F67!~nitS&p>y_2)m$)eG>b9FZEGoGMp!pJhqSimWjUSiL z5XtIli|L3e|LpAS7{jNQf`f6e{SHWNC#>#~rfElb8-y5?`QjE(`9-(`^gPFv-oxSt9TU zR{4WL0_!)>-E41fKRY}71yTRY?OFb*fTZxa7y2}J`g5aspy*Btj{_@>TtrM_V)==e zjgC%2A^SE*%YtDyB#{w$o0=L|xS5@6F^Xy`OLDD_6(bUQJx)D;9?bqHF$qb(dkD!_ zWmQ$K=Vzw?10$u}_{)tt8Qu2}DjiRwo?xx@k=zW{;%wvxW9IQ3?aG4feV&<_c^9sS&z zX?dC`q*(#eykECS3_x|7##p)ejEQ_?*NrQ})|WDcHRe89V?NwzAE4-DBe~_Dx%k62 zCo9XOBMQ=H5cafBOGBf=YNmO2W#}>7*U!(-*OwVf6``u8CY5ewbI0fFIAnw5p+EW? zl83Y2|9vruYuU}UM}n*$5OAY6?=1!{4i?r2TOtvMAkoM4fKQaTaqvptThB&oe7IlN zH_k7Ecn(BZXNbBvh(&i)ED~M6Oz(;mbXXtHdX~{Ro(JZ-uI`~U^0E(}(*@lu8{BQX zGFEQ`brZeadn!|ojDW-PqjFvhm8QCp zorDfDmh8<%wS0C6Bu}&Cm4QLpuxEtOUX*Wn#?6*{S=w;-wMMWfB)K0g5wWR7L`RG6 ze7i?}|23Wmt8z4zCIFh4`RT-R3k)KNJWk!TP)S%X`cdU;{`-q4~>=wP#UCn4($rd`ERjBMclpF>bg#I0ZfS+)y}&)1vRqN zEDcRTBwb0O)Uc;iZlt!DvzKji7R5kvEn<0fH#S<&JvIZN3RX5Y5)zUie4T(75pl3c zMai+Q3~S_3ySIv|we@xoXALP}hDb>lS6AtqoCm!LlHB&l9P$X6p3L@8?hHk-x~iH) zxcB)1qU4R%C#c}p_Z`eWXZeeppDXNE)Z8mGb8<=y_+1YQPX`9SVoxlWn!9XQ7~0vD zoiHgEfGuiSyC0ilA$l4C-O$y?Dgx_?qqRcVc|;qHRqZ4d@`j30TOO*!U4pF z{7&0xjH01nZ_hT6AHQU3W(I*sz?3MgaPdWtTZe{iw4Ns-7~B=Y&Nx3fOqJJs75|Ql zj=YJ#7=wj$;C4WIa~ZN#G9_?vc~&G3vea|(dKzv);*Bm zE`5O(g<#4D%`Ih!%1S6eFY)oB2S2X-BE(mN?otRlN90FLOiZNME)qZL%UfD9sbmjb zZmlgak9pMu30kL@4yM3YURLwM>Hy!WB%9t?r0D5!emq${rZ6Ym(Mw^E|5x-9U1zT)OOVF*Rh=;z3nQzw0`&vmogX=m>10YtuUw(4cS`MGC*>_3c#FPLrmr1Q} z+{%^EvgVZj@qMrDh_a_a2RLsn-Oa%_t8Wi$!Y7DyJS7sNz*riEkcDCxHz*O;B3_bF zM@Z-$hPHux zPEJlf>9SGX$!MVFps*OIp{-qIw=#Hga#ChCWL#YhFT3t5Z2puVW>#?=ARK>cmE58$ zRKD$BM}_5~W=uv~V(=EGsdc+1Hj~2Xrnk^Wlew@WW=(7iVKFRV3me=<>zYDCxlvN3 zBuS7^pT z)R5fC_jXd+W@l#`8znpuhB7-{O*T?|>P4&dka*X+Z5mEFnmsHLOn67w%t4GFH|r3@ zG)JXr0+eJA;q{X4k?)20H_E9puOT7{gA0a>MVsC@AJ%n4;W2CR0ozw;IxS@Pc9#AZ zO!m4FK%9`DDjlM%@90?Z`E&Ec*nAo^O5r92GR&;6NWKM26VrhlS^PAN@3pw@xU0}B z56$NzwsP*SOH7m^Sb$zHx&;1es{dE={2NY2*d1;gvIas20ei^+=thD7Z($oM1OwYm zfp-d z7U)x*p1hV-zaM@6Lul&DX6yDr%vkLxBNm zN=k-~<)x*ON(W8`!3Pg0Ye%B{#v$BM%(#Syhp%Y)coOr<%cDq`FMx7O`r!jzihILt zN1YOtH`_7T?nm!r_Ch*eh`*xug}|n zqEp4pEN5m>O=XKt&L{fobTWz|6}ypqlD8fF0j6XE(jK7pCqWtI#~5Mxu`-?F*junn z6m7P|vLp9QTWMSEFzn55$q5(Peq#ftG&@_i$}H#>+lxmxw5XrpQc;6!4=&pM&e9gs z`>(v~g(Qo9fq;q882pn~F2A`WHin6@sMZL0Av9S$(?jWT?(R9yuc-e!hbRM8RDOQG zt)PNjQ864IEwVmQ{UB17mxsq2>CtinjQgjnsF%}vmXqMry@$E|?2)ELj*PJMf)Wb{ zQ5$>@Aa=1E`ky+kNP_*9qP_{s!YwDruF_vE^pB)c-P05zQ+;K_k|>qcp{<@G1A~jWfYJ)7x z3&>3;Tz}mEUsQ}(G|t1B5K&@%@$5T$GKq?snqMj^fXd~0TMpf&NIRS$;HtUIpc424 zuoEP3o0F3h)6JT#g^DQ8#KZ(2x^-6=rv>ts6;!dZ>B`ewOIP>y_c2K1-BI-%H9A5_ zk-mGco`xR@WFuM~J-u@TA*?o5LtUMz)Vo*PyERD0`aqU|{Oo1tHgot(bh)+@hZMsF z8vN;OsdZp;_pKfJ|c6#b7OSR{@e&>>WgZfXLQ z8mzOcORv)YJxVAM%CK>L&7_79?%(+`p>GD*T@eTRf~3SmM(Wf~3=9m)YhA@OT^6F2 z3@9Fw!T-;b;9wpKk`&F5bB}?wwY9!}y#m~TCe%*y+tw@G#8XX!=EOSP3Q6}@Mn-G# z{Ohd{R*@_1Yjq!6d>?{ZB1%XP6PNH(_tk&T6AI{FBP7U^XSDgbs3ePpi~Hb7yaTy( zn30qKj-bG+P0fmrOe?|c~q*jbMIS@@6yWb6!Mr|lxK?Rj88 z9b=Q?D<0o695h;wdZDXXHMmK89gU|>EE*&5>ZmUNh4=!UnVCsVO*PO2Fa=Zy=@Ldp z8Drgn2jzE^pwNzMk9Ao*bV5ZB=xi)I?z|j=96F`URR%^`Q>0G&7(_C=flWj7+cV&y zphSB(g`B&DM`7$zhhGC*19H}6jT;h)bX`|tjWjhkwADt7bRoT2tLz_$tjb}NbAw?rEE-&r8%PZ&5i5gwNFgw9#w#`QDGhE?#6S!NJ?y6s8B|$00PfPyalF;9>}4z z>+Iyy@hT^qU$RWzS1y!d^uy~C()!WIr2kZH{^Qr6u&J)rNpn~ors;iHJIXVEQP!g# z%d+kbOn~P5)4!Cxe@HeM6ae_v<97XG6ViQue;5^GJHn?YPwd5rZBBmv`sP#vV6(gP zv{4e!AGh?wHYkv2X@34y=$?RTV#wD*jJI5A7$-Bmy#(iWk3tkKd@hnWl&;>-&!`w5 z!MDGnG?F)%=(ZgT55aoqaX$8?R|f)cq{q*Znr0V5 zQD zOerYf43*)xuh8EnN~&ZS?32DZVf7~He%&~v!4DR|dCPJ!jfmmNuhlDyeFZV+%`aNF z6os{f0#A#J%$jOXpLPM!m!)T9WQqAn^Od}5hG$e;^r22Qkeie8JezTScUblUfZmzM zGJUEEtslw*de+7myr8l&z6+I$^YZdwsn9zXv;`M?ZySCbtVb7+^I-R>v03Bp#|6i4ww=fg2Cm7_`Cf9MNXoS2;Bpknz z|JrS_xlY_@MmOEmRWxqbi#vkfU&E)`l=@%F=fj^pZvc;U`}v}qx&-PSG(vMzmn^)< zkzpQ<(r2fQo$-7*i{YMN*G<3VJK-Sd?YD_XRsW2NYLk%y2?{2{C4;+JDNn(dz%53h zlR8fl;ZvbL`l*xS*Z4n&(_!^!zt@3+X~<+rFw;L=46G#SQXsKQI?pt}_t|glhVTKM zB0DE%rtI?quG76mwGZjwX~C!K;(;5ykVJ{4;Fo<2`|^MgDbLu@2kOe(XJ`0e>1h7x z@frD%Df*I#FJCm%PJ!6Y@S}+X6xUj1fd%w@KiELrQrHFEZ9Oz>EH}6fC?ieqeW$-R z*!m}IY;4EJ$KoN}n1Dbt_|tfUCj&Bakgc2B>BvD|k_$64gzvuj{41@h@XUCmMo-kQ4}2a0|O2gd}$&dlBYY_!Y_rIDT< zerma$X#D!MZ8&pBc`yeF1E9&SU{!Af{+j&^A)y}%ASuM;!Vy{Cc;Vb~@XSY|$UKe1Wm@D10`8aCRCc}d*fe8UIm#Ana?q9D+)Hu|K`0FBSZ z#*)D_uUxqjvAD8Ar?9mLs!<;-d+$V&z~va+X(@!UfV!WL0TRKM$N%W8bwDV z5E!n{XF;2SzjKOgyp&|YMn#F=rq^}c;TwAJy^YCQi^-bxb(n|)Tcm7RdAV~4%u~p} z3M5sa{Yx7oBwa?z%+y_6jsYJn%9HFJrk>c|O81fidx7!V&A)gR0svysXOL%}I+%bc zUjM%_$!L%G4H8mS$rH6As9$3}w7cb1UxJZ>{fk0IBR#9(PN0@TL!{d{n%*cioqjWV z^d4$w>~4par}#fyLEXuMRmOAcS|K3PW7!XICZI-wKU-e7`F zR0*`!2(E)t0Ou3Y zp9$m0+R^?iXM=qj)k_gzUjaMQlI$l{LX7@Fi}7snot!Jil7HPWS94}?P&{!91DSiu zC?AmQ)i3A8@qa3JpHZWX)1wq)`{i|rNriHI|2494PPrGOp7gNdK85`4j{fBpO0dgz z1$F}<{t^Z>PEXU`^Z5Az%X350{)+Oony}gAloYRmTLRHhQEGWwO+i|~+B6ePA;)YN zv&3p7PhkhvLjWN_JR_5n)XwiTKj2KPY!)Kqv>zIh)w#62;hEgu zC*f}i!f7SywRDsa@XoH*y_Cj9TC*khzZjWEWd>XK82>NOIEfq~p|Y!5yjEi7r_FhS zJhg&v)V%MhCYGAkc}uW`x1l&If`*2M;J*1CDr9jq-a-$A@81Zz>M8J5YCI|qlIFVHNN?6R@}D_hK4mpksO>UzYuKSTLM z-#ogm05|6ROQn5%Au?k-lSI1VhFtdZ1AOY=(D=_=KE>ZTW$I^hynai3s#M_R%vUeK zaS|&o_#uJ<1)rz6RYgi=yoSq0^&>IJayEIScS`&=X~*}(X!!3FpipUex~fovLG8>fwmEBRug}d6Cj0u_4+#V9K_3Dt|s`}uas=DvZ*D-PxDir*Jp;g06;HD(5Q7k z?RzR(4gA9ZZyF^!y=w5qo_>1Ceyqq_(k;6xRBni~_DUo0SokLN<*#ur7v$%EFVJAk z&dq(dpV86L0T3r4=X_@w&vDU$&Yj5*)Nx^(6kQsgEjh#jq#NJ{)}AA6<@(DqDU+@t zcy%@~nT8Op&Sdi{&uP*H5}C`UBuRbthcoppsM_sT!rH!l`_|vz?}JH_*+TI@4IyVP zFMePU?`CaFl|w&{(QKqS&%za63t@CVc79x{ETdd13 zFyNEa!8J4(re_k&Bx~-7FSRCoKYKXd@4xcmd%#QLvM#Wl-z9SVu5u8#1a2-xAMz4R zIYiB03Tjbt!fnN%a{H+?fvw~WWRrdw3iTt4v2QvrC5HF#aS;oSC(#RP>@MBmI5;@l zXDU>R+h-YLMLYsn?o>c*yvd^YwQCk+$;b%CN2(gnr&^iLF+>mQx;)NoP$Rze+=*@k zVaFEY2d!RxPPHbqGD2wH+~qT~aCu7IudAc`sV698d?Mx@Q*L5$iZIunq z=~>Z7PHM4y6id3;ot&7M_*CR@HV;0bRqHMcLGjgk6_zjb^{0O>_1TVfRrA?@J%-~C z(85Z9H#KVBV95j9#NN-a#!ondH74W>l$Nz)=E{Xn#icXLH9hFTn;F@2^Y}wT+%Lk8 zSmsV7Y-f+ZnJ6~V$yCCW1bl8We>%5at#+MAZ!?mA?3vBHzDR6Cf{yUpTyWdAG0MKB ziv$=e7`GUC^HwX-)Iz-#duI_|QgpJk=ZQb_>8M%3`;d?Yow%6*R{AQ93Eg2E_1PxIQBs1z>gq^IlE>L$Mv{AW+FKk=_~(p_wPJ}=x^tb^o-wM& zwW|qk#uv7oXQrE>27OY< ztAsCm)xz0)NqM{n#In7xN$4lW?(fMOo4w>MSCum{DN;Po?Dz1tc2An5a^_FSNI=Gp zBp}^t&#s5Jt-4Iwyt=ngZ6spj``!Eeu**Zjms{ELDlrFa%fhF=gp`~|i`pfox`H7C zvqxY#zcfFK^rP0?a4u^kH}&Y<(DbMUrgIq=C%W(x@yy%0g>+!G@^v;)pYA2)ohdf#IB4ljYyOtDJA38D)+ghE+3)JEZwuZ1?XIZ`S5WeP z9#Xu2?HLB&_oBAI6D95tX#bmtxLj|PspCN-DCdA|_#{aL2`p8;z1D!P(fX7-Ff_y` zD0s9Bc-O5a5}?{GbR`Y#m8TR$i}wRZ*W(WbKrVFpxfojUp~$3<(dvDS^ld5&jm1fA zzPDMn612TF5+4hmBnq{4F8VSQ?{7|tpFQHx)z+5xqAl%4$E6bbQ4siW{4Psm#!oi; z5Af{6qv3|tPFCuY9>_$?QgCxCQct3I8vr2FG&b6B3a5%NBYJ7ykj5K>HF4QZTnL8L z%93KjP@~zda-ms6NyXN74BF)$$l7%QILYp2=-zP~FJDX?68y=f=7yvDoz5;nM!shnv+lv~m2UC8d z6HzszJ07abKhO7T&$l*u`m+MOqz?Bm@I7aHAZNURZ13K`-+FJTA}GbFg#6hV9s|=z zscnC&Zt$upkf@kp;EPS+92cGW^&RSPM z)SFwdUB)#e?VW017sATakA#hfMK3+*04iST6>TZOZ8`Y~IU>+O5wpA;Z(2gy0tr_> zln8)IrEkktlxTljm*=_txKO0juts{&#fi;ybwiyfl{ih9sB*qPAz+laAtB&$YC>c} zYvkHA9=Xo<`zFF`p8iMQB~;g@K}Kyn))nrrAW9Gu4ksN1uXou|k~;4zEB+o;<44p?}WXO#SwKNfpZtE;OYIILGW z?=CksHUh;59RmYcbaALfPJm^|)CEfA7#I`;)NvqY$+dZ(r|rCHsz}e)C?|lIa&ouJ zjTTB6p_wmL?m-%JrlFM#Lxyc6*zX5hs9tGRrfcvw1vJo5Ya%0GD9Lx_r)XJ>6dR^& z5Qak}AZ%C<2;hyu9nn#%@>gk^y;GTOdytyuK{T)%@9YONVTxEK(t;br_YM~0&SzpW z+i-kd4||9Qd8O2Fn}XF@Oc$ff?-;D0R!WV#Q(*^cZ{P%)N68zgusc6>U`vH=uYO(` zDwr&KDQ#o31H`7Kj@E+%{yDyzN~M}FU%tG9=eSljWcxsS`N+)7Y^ge;J5x?U0ej<= zjuLsd-oTb^_5NsK8YE1eM_hNCxBZ|QVr{+HO*5r!pIIrg$MubsT9mitnlP+u#<6Pm zIcI>V%U2u(H5RxV5CVW_0WQ0)K{L2PadGit{0~6B9&!z}w)(rWrA%%BihRh8#?2-f zK2PTB5!6H6#fEMr7kabkUH3d2GP;$`MAM;Pjw4Jxpd($+nm))POQ_D`=JPmfwbWg1 zUmvzebv(HCGaK#hnLVN47AWps*g*-#>Zjv6u8@nE1XE7hlMwJM;|VauF{bB|=sLat ztD>N4yPe#k>S5b57$scvw`*%_K#xi7WPa1rj?$p*E3#b(RQXPqf@Qa8FC`MrhRPp~ z`sLSXq`C!E=!E*b8tJ7(_RjNYYO6eK9czTEOdNAzrf+lGOgBRxu&q?IMHDYs2~9W` zMmHu2KgU3Z1kUWh+7z|74qfGsn!OZakx0DAnvOOd3i%Lv$ICMKgguWP`!4eWeAK0bi)05-nQ6+p&N zSQ#4~)$evQ>)4}($cHVk5SjL<@;SXwa|&r#Rj|1UF5`&Zk`&7v9u*}uWdOWP*REXy zR-C=#Q$Ts#LJ)K6YOARY_VcaBGRC+pE)uFF75WQZ22I#foipmYE=M+YusaQ(3nU6HeGBj zYI@)cth9Mm!%nT!>f!L)YR4P(i5aVxAP9xqGZjr6s_$x;(5IgJL$}1uz6Glq4N#E0 zQ|X+3mN8?<+3dw=+9S+#9fQvlEV=O2A70Ge=E^NIgXc>{FB*JF^jxjlBUpf-r{eDJ z4m<@1;bsZ;f%Re4D!N5hukZ+mm%p2?wA$1dxUl9(Sr}NO2g`IW(l{$&ZX11X_mkQk zUz1t$Su>Wu`u z!u=P2#Ff5@JT*keCPD@@b+oqBABDar8TGXjg1J#ogCz628)Y{JNCdZX2J>}*O|eU4 zf855RdYAZAmfZDt4G#P~DsNH?4LBp!Q-lQ?=UMgwmmWiprCj&QWgaN%@Cv?+j*i~J z25O|;J_GGTr}Wc=vJtwK`?mt(y$uL?*~|@f6yeE^8C~kjB1a=K3h?Ar3T?1yD^Y9f zfPba>%0|4Evq;`0>!~ZVCJtQuQEdE_or(!TN6uM_z2Z22#CTN_cy|IgYfrX;@fKw{ z00w1deW04;78ZW69%`ZX_*OCqTtdjDqH|HTMAZb>i@Cmhr0zB!Yjn`&7v&~Go$$$4 zebkk%1~c$8CYLkaPClzLZ}4MvLmjTyArzsvCp&$8(cL2sU2BQ231s^Qjwasxk~iy# ze^#o-=7o4-p!wxteYTHzrVMU=zHoeAhAHy{40U+2kh|qvNJ@`W!%J;4W6tGm?lhDQRg=y&54dF3Y2zsGRQtYMGxUSy@X!-Ul6#M<5dc z^EQE70R;}kMNJr6*OmaU0rt{uo^-v&O( zyRb??w@&A9Oq_t#O6o0Epl&qkfvh^Jl2iCAMtuX1egqD+V>eM-5+myd%$Y-|wTW+w zOqVY~a{c&_Z_T#Y4NiXq?#nJ#7`nG+p1xPEc2MLknCX%~(ep>u6(MFIseR7LSplwI zGjsEF|K9O&(CZ-oVimNbpmse_Mdj|-hfXVx_qvVKxV0`NJ<%0Ux|&!#i-8u)%zq7& z1isiO*X(V+#Y~&JTjckJ5Uw(5a~L4wkbb(a{1af33Fg4NFKxz7-WqKQh7vs_UM|no zj^R=YH=Hx$R=X;|`qCOsW&gG1`jpbz)E_T)RwoA3lrBA#gw$QOyw_fyqPNAT&cA&1hblzx*r0yJz(b5yn(VTJNSck zR$Ods;K>ErY-)P?9N45w#WZsY3M_1Gf36hNo=pdFg0`gZNumX7=1%g!89Ft`Oj}f_ z*hsYX`0Npl(Uq+>QD6{)jTdJYu%(knEsphpZsJ4CFOF7L+&6BjPj3y%=1xaF&Js%3 zD+)1qhZBxMkmIqcB9c<@1A*~b7rivE;@om*Q9k3w=QbidorhBoiQp5ow|1*ThA*lQ%$K*Y9p}(+x%A}& zICG}M?cC^CkcAQ+z$e2D%hM=&^u&3aANuWIK=SMYNG52`JxjJ{d+k8sBmClSN`;|H zC6PUvgu0?*x32sxFNC>q{%2D*Hfl7&hni~87DMIpT+;p4;y5~tq1kfj6(^}N#XVdO z>6(p(4h+6!p3E^tdsDdlZPuQds6!f?<*}li%(x*T*!$pM~X+XmEZ z>(PvkjjI0~J`AV_UaOX#H zEgx$7UaLBI&NT?djeawa3ZU zLXyWaBdCkYy&ZuM3-HxXW0yh80%)lKFarr}9H4M#Vr0zMsl3n6Kitz}1(ZEdQK*iM znWhFWpBbJp9o9Z}SZs41?_DN;Y|;=WRQ_t4cv{t}02#JYJR9UIwek}8ULI2U^+$@v zQ00V(Qnpm78$+0x6EZlsB&^M@H^~j1DsXM_ilt@7}e- zX^&3NwzsXtqF}_WjC>jW9P`f+cDNy{ToDX?PZ3Ps8LQ@4Zjrz|Fx_EWb}UZ zpbC07Lc>*L5_Hm`>a_WI3pG_$pnlxv;aLSu95pqG(}QxH)wgD({BgL$-<}rU+E;ek zKxH!&73og`wn)x!z~_XAmprfY>h0}qZf*uma)E(?z{CD*JUc)C^RBtMIcy&}>V=TW z37d72_0h6TSD=bjotWWr_$+`j3QhZf-6!I)oLs8wUC`m|<>dv|^6}|ed%NsSogflU z4mLL6K_3M+oOhMhE3JI67I+j3E$K9>lk^9-_Iv1^Jrqu+^80e{0@mVj{tZ8JMYLCn zRmERLLnH8YOez$c8`0k25dP4;t0(7jO%CYbN{OLaj+F^={Ppt9>8MNVO`ur{R^+-m%w5A} z(whcWlpM z_g;OAy=OF4?*jr0Nc*586e!ZWhpxXaP-tnDla@9Mq%POP{;X#`!tYyEFUFgm@z*^= z!qSt%K}XAdb1esl{S?U{Fynv?wpkw+Se^!lY`_8W>*aMBi4#qGDLRsxlQ}WFZ)7Bk z9BzCC*@D0AN7aj&B;-^NpDh3zEFf#}gZ3`@Pk4jUYh!ttV96G=`T&y<_dY2)7%nt4 z8F?_ESH^>&pd}TUo}PV{2Q?39?qh*KkR?W4;6CBzV`_k@bw72kovgKA(^|u1!30b2 zX=EG_-0eVl4H&q%;%DGYj{C44bgAfOX5TGQB?KuTgYnPOOgLnEz7H5lwU83$tLPHJ zGau@&b7Ntl3Xl&m7c(u0hy=?#Awd|F|IfKJ^z>>$j3y`3$cg}32GHYR3_ZofjvuY< zJ`Cq|^|XCg9Ce}3smh$eawgu!zz$D04t-=@giMEK5$2x%{E+?IwwSRD*4OGHRWeX1N02HVg(+QtC;Ui!OgX0uFK$xqtsYfN>t?7kLwG zgM3T&3d>Wf9;g}E{NV|k?p1h}MFD5lrxdjH=S)?ZpuLF-F%7Wb?|!YWu$yfSy@G+G zm@DXh;^^SO2MKxi4%osUzW%X)3W1?eGAt zEw1Sml^=Zaxt4KUx0cdM8+eQFaE3hfsff%iX>aLJt?GIKrU|Wa<<^>}J|Uc$?$EUc zJT*8Dr8*@g1vLBv5;-~f1<-teALbSu=n?u=Gmmi_a#7{wn-)u6Sb9dr!t5-&M&T!5 zcPT0=0+q?5A<)Fe0#j92?>ho9X5?b3`a(!9U!W}aEBiOr4Xc=aRA8Z@Jpkn^NPEoZ z-x7r@KYe-*`l8%xK?iBrqao1l4@7OyJAWTGPCAn>wDiIsDiur2P z@<#iL;}3m|F1L5_!<{LyaoM}1vU4-i632_5er+3tBxPC@`$J}X>_?CBQR&ThH18l; zBcAh7kt;!o`vNbjBz9`lt=a>x$vu9IPZ5IZOj}!7S=rdgNYXdtB2Ci+M@Cd45TH+M z(G?f_%!>xrgv$_lbPKg5?DU^GcP842vUug-ZsB(TNWL4cWlQ{Vy2!P?=eT=9Wwp8`M#!guAZIPF zuM>BGEqn$uoKXSQ#h8X??`#mBp`OA2U*Ge8&vPeJVc*6=GNl0J2#WU?xO>mLg0=n_ zh4Gpg8BI1iy41Wp^X7MCHuK*!fzkjv%|Ruvt2+U@2;D^VY=!SmN8z(;kF&C{03d~< zCt|l8fkAEEhzF-ty1)y#d#jmEW**hAx)4dX>Z&Uwi+|c|&$jh_Rse2W-BM#<&g=or zaUc&#>zsfmRLj*+QdImjHl!&jSt10PnlkdVp@HQ4(b@gu_N6D=+wA1>6xhXT=s2G`}<7L=_M5 zON|O;C)IIas|otj!UeWQ>6H13JE#RTq7*dr$2v%bE&;Rh$OyoqnCNdo>;S7R)$Q9U ziacCgit6goNlDb#uar(S*Hjv0*Xa=G14wLNoqpYfboQohRSXQ6|{GQQw?P7yA z&^7{^A#6d!0D=H`%RpO!y{#>Uh-=JQ8W=hMBcP?q`%~J}j8IvA2G;QNu4l(9ye@;MY1hFfO$RzH_$}Y) z4}i|7%F0R;Cj*07&cwMU1Hdd)rRaW-m=4b+AL2xwm zL%K6w{W+QJ9Nj;yrvYgdBSXWv`FUaz65vDe7|2$wdtO*w?FLMmvWrS0x8Q03@VP}+ zgtb26quGuW0xi9_zS;t3i>)#hMScJS`-l->$11rrgaQOI|235=K^}}xsMGT@)6_|Yi0Rc>6 zc4i0!Y#QwB>}lO)F8i=R)L|2)HFKZQDkx;xea(uk z?RlH-g~&}$fy$2JSydSR#zrr5(Opo9h~%XUF;N&fivCNs@3_JoV~2rCJkL2F`OG$N zRe%G9Mry!`FK6yYENbX)A0Cy#;c!4|{HS~TeZTt$C+Tz)h9bdE?)8g{EmR1v+-!UQ zPpNMoO;$gV2$ffG_bA{-Iy7Gb6vjb2zqiIj(M!KmDl*bKMb?c=5sgA@sIW!xl3j>L z)-(UVyhkPW_*)4r>J4>?Gkdvg^nB}05bhMowrp@Z&b@o#DLp_81JzXL8ZqvdJimECtwe3n21~SWdxj7WX5$J?Fbw3AAxiZeQ zJGL7W!m6g=hv@5)hK7ct6_VtOy0=rY-4EwTLLXV55Y=gVasJw>NInDEIm43tbHsR-X_5=|72v>&T>s@gn-iIuXDIR4XmfQ zb5Q`Gb7&UN0vrKOjYIrhLz4ICU9&vwCT!bor!^l9eMV@WRqdOJ3_E9)W>FoV-e?Ui zU<$)E__UCa zkoWJgadG?fK<2#S`4y-u0N#Z=I}Rk7nwnAxIev<-C@qr3(ja>eYuo$;bS)0tsEC#N z`X)%Ah93^)bO$J0ZZL3n1^$}4;IWHOB7p}}2w4C4dMQv9ER>D)_0Mymp`oB>${+j0 z-NVW0%VT(l*^m=yriyBoR1A;5Ov}ImT3vL2rm~ zU}?JiAu*yp^TB3QMz}KekpDEtnU)fH!2^B933a%)2+i|0ksQ}5q-N8!6FbN6wa8sU z68dNxKfXeetZiWj0$OeX1qDPCaLx)Ke}SY49O-!l1sN5Q(a{TgiJwOswXsUtuYklx z)i6+jswDneFh9Df^B2A4<64#~(S`&_(WcFia7`eM$eoO$#e^C&gf(w>K;{_OX%? zp!z}G05(8?fPm1~+1?(QFC8ohV-WuKXpPvTf_`U@E*pH$;{~nr=evOE$*1K;hKBYG z4&Hy+{0>xi?AjHDps5?A1#liX$hRU%oCqp1tB&iyb`cp70YIo9XOf^@``asSSXLd^ zE=K3U#R$^@#>fDaz4UUTpx71y#(8kCiewNmSZbgVcpkj7zo`JwS3t}MsvClmL-I1m zF*s`iGzo7-MqnSbgHvdbAmz1=*&LvQ(}zN+gi~T3UV8evz>P%K_h)P}FSzRC?&~eT zQ?E|EsK;4%x8gTpUr!W`@ejB1M+Khj4bXHfsC|S1X9Mm8w1)%14@koM$EN_z4b*(N z+zVRTRkBQm@^x-SCAuG($V&V>1Y3qu%>Fy~BH#Va zy`NBc#z`8rDs3e2_TIe9`ER&X=-xl#(w0oD$GckLIUlxf-?Rhu_~XV)9Dfw!392Z3 zUzLjb5CPijE@I8q6~Im`o@@x7O)iT4i{sYxXN7ps#wWUp@_=xF@#K{D2${vf7214EAP#nTXjn6t%QQhle#ZG-Q&^{vUg90aaz%_K%_{7AT^C zfRZYqNQ2~-ZUF&Nx|@x3gMpxegoK2IfTT1?gMxG^jj%zwyK|pwqs}|?&dm4Df1U4~ zb=EpBv(|Pq?EO6VbH|mx>vsWQ<2(jcZsG<-*17!osr^wVI3=&h4G;3z1Rv}V3K^^a zk#NOiu_ez4|B$U-zmu(=j0dvS79%e2<-9(S_a}}WL>d_uoRoNHVnLo}8HwY8Hwpe7 zhkuVvzg*lCjPUJ1I+0(gHvIaCf9ElL0)Kt>xLEplVT?%aKQd(}6!`4#b|_AvU1&pFq6 zzV4#0eFQY?-(DGv6bFZbdjj^e7qr6IP1+!lMEf+Woko0Bed^UK0>fSTXni*k4!DHM z-wp1MBG<|AFGcQ$=)h50`MzMO<6%#~G44N*9G??cinqUZN6_fcPf$DO^E^18ZZR)E zzd4LW4;*E%F3h1op|!QOu`!a@A)|G|Cqo>r`Q9>s`VTQqa@V@EprWL{+~?@YjmL4Z z?|}JXW;d{H+)XZc_!^psZY$Ps-&a@#J~{{G*uw30LBF1T{wUK{G@&1 z?lQ&Fze`|W5s<*wJUl$9DeBKQ%x2coUp2rW%rLReotuAf|CJuMq+<5Q&oPwhwOS#b z`?**Fg(3pnFu)-Q#4JGQ7N8m+c6%XQ0lalUuF##SwXm@Rk~YnasyZ6XkNOnJ6B_8@ zY87y}F;W-C0ETan@cFWJV3I6->|;&bYFJN-$!{rJK`!O`IC<4ac?OD*Kkm_mgFQMj zJ$V;HEM~u6>a_CslQvYiKm|XB)8jnUT6J`Gj!jG)JA7#FRDO1?KAslkrFhoM@%Zm# z@7JF%kdYcYcLfP*{jXQ-K;8xg2C{%Qxgs43ylhqwR)Gq$sIag?h~0kwt}QNJ3v{4U za>bW23XjueY^2nbjQWbGrDjm2CXC`=N&WmBl;dTqeh57N799vY$&>bT5iy&5#gD&s z?=nwDXaf|80WBG5P%zpsWF=5y31}t2tFLxL?&20@mg|;v5VHI7@sxcfMa7lxpRfVi zRS~N4e8uOvB%;{h{J$av0ypT$d9O|X<$BH31=0YENbEhk-OVKk@T-!OkQ6-_dffAI zVFMr+5P?{}c=|l<=9p0YH%0}YcLXdi)Bq?hHT;!v<^EWT@|MENvIssz-jx1wL;h0> zckp&kZ*RTI@?^Ej9jx|diCv+$riEh43``<`fDHc}uO`WdF>bk^+&sliH~IdTJOClm zthj!0Bj_1eUJ9kZ0gPNkMMc5J1-DdKxC(0H!1tj?0wZj-V{H&7y@n~4@gFknmx9u{ z`uh7>+JX?Rhw0VPp?aF}hm26mddG6zh1w_ik{OjhA_zI%m$MnrVwGde_!&g8PM9mP6if3GA^XAl}hyLUqFa{#!ypmFk%-FI+$RB#LZv%pr!TW z@cSf)+>=@7lTmk=eK2xAGp#boby)71&{TF*>zuNQ#;eJRc{-i-8w}G+) z-A?d9W8BtzC!$^E0r?!KW%9%la=UPhmZsZ-2t&aZ$AsH&FT&~c*k6eOk6-uggi~`2 z0u6L-pow|zM z_loxhxZAuJF4tB$`)a2sKqw`v9s-8ZPUGRg7hd7oJF%kk@D$cDh5hJ4nPH-Ie~D1G ze-3xit#I&j=_eRj)Wvbxj)r8jFsk7D8GHRUW&y4E9oIQJZ6ebG&tpu8IMVhvhrcse ze|{BDjP>y>;6jON~p?BPbqKd6 zrntoVT&~H=F_?haW&oBQGTCsml_V3WU3U}Z3Lz-j*+$*he*ZX~a(}slG$|cd_=U2Wm z+FU|)la|Gsx=0y=={*z~UdtGwGslTkm(j=h1+O6N4?q6!-1im{tbXA>W%$Ij&;KgM zR{1WA6vx%KbnpF;{RBrxXBUW1jKN4npan%GbFBxHF_u=Laj$ zu#43Is8Qe0;0z@D0AXqIE`p%`sM^`RgUHFc@~%s0p_yEV)Y6!QSwS9;&IkYkOFmB$ ziQksWp;!{TYg#a=qC6L#+~#ipXjkdIaLC9p(_@gXAORf-QMViZZ^99rZk{-DSpEL! zx!Va2S556~M?wn+129xd7a9q$XRX-@u8Gc-9hc5I9hAZO!r}b>Sn|0>43+@$V6}ZT zFo;-xWWm@0B7y%mqyKLa2?=f?iYvO+=If3-I`pCMmIr>i0QFBtavK^G{*=(mii^|z zgA=f>{I&YBMT!x`SOB_YdUxyDv%U9#A<&6Xgt5S7enJxH{%1%+{JQc9uHrHJzd$4W z@JZ^AVl>g6_#c{x@*s70$Eh{`+x=rlqyAvAe?G9-e+INy=-#HZ9Wf8Z2+s?OE`(0*&MpK<-7 zi|&GolHUB?*vGnmbs=(@1kGzVZXoqAEOIoov`N6rX<$%2WeXXdiScosi>E)y+I<$z zn+0yeH89ohZv#~T=j=93mYU#+7k@DaEUid|`^6xxWhEu8N>E^SL2Ly=i-7gWd%iF^ znGD$%dU}QVI?eJGP#RFW9GFCW_Kx>QKk5yf4u-6d4n80_ew;q4mZO5d?c{%k<53>e z_Im7Ye&UoTSLq|^ITOdB?C#+aVfwbvI5u)n0~#Lw{EN^IN~vL{Fm8avfMzZuc z>W1|)YS|QwuH?`1VX9>xo&3wyg@R=GfeZ~(Z>AQqmO zC|0ERrA$rgD1Vt+L@gfUvrtqV(F`DtufI%LZhfqutE!;<>!@M&_KqhlU$lHJUkL`j z1r`1lo%8b7|1NUs#WJ^FP? zX^Tm2<2b$x;`m|3F>J!~X}=Z#j$DLWWWDKYsc7 z4pvtgC`=20>mb-=DqHQz6Lcrr*AM_pYQQK+t?(mdwoJ6VncmAXZjZ*(D`?!-;&eR9Oe$jb`Rf zA4+K4FMS#MByK=7U2SdH1t*yvw*LSre zZz&K!YzWNNjhg=VQ;%Vr zc%PTFIQfU`clD%~mGMWDlaq4}P-ANyjP%bJYeg2JkkJEB`SBbQen4lQO#2w=*Q+O8 zwW;tB@fVxMh)|;cHB?T$N)wQ#mL}@2p%4u2sk!S z4g2QJn}7hyoG6^_aSWMswpMdXW^zqGWA^W6=*M%oFb6uP7Zw)6rvR%4-vc}$q&}0{ z@2ji7iHw|iaPtUP=h-t~b1-RW0EZe(0qJyKR#3o4WO(dVb^M`Tam<{aqkgvd@Z!oW zQOLVSU`7uPK3~wM0Z|*Mh!sxd!Ud-UFd2V8D%u8(VZ)m?ZR zzegdAOPg#L5Pl)iP1rlrkV(qaEYm&NAqwJE1;ndRzHHLf0HQO+%>eNT3tldCE`5Ze z1QD~bvC+GAXL)6%wWZ~{zXCR_#MOg&T30xCF)=6-gPNP-;$rn1KsZriEzZf|!+m8uu_ugJmQAjDj!2eIK*0KSHGtPf zZuo59&>At=y=KY{se#FL&DxNi0{q9uvLPEeYODQ>e|Ptef%LGjFxcd!rF{OifS7<| z27O}0WLuV&mNqvF+o#kuHSf;TEtKAoy>>2jC&yn3F`f@g&<`-&vX0<}w}-z*FiWo1d&!l(*^@Lm zR$@gJ%VQCnnz)?N7YywiyZafpTp4-KT-BWqy~i>1_;{EDk>@%C;%>iNwvIuU}Op6 zk-z{UEtk3c)*c9;ZWpQCvMJaM``*%WTIGw4QJkyRhx=i@=?~mecWuOz4rSV#C;w|( zv)!P(%NSRAiuQfB8c3(p-tj;nY;2qi$cM-C*HBhZ#% zVQI6;U_E!(LP8RtHPB4W-oZhwKiHf9$U!(gizM+I zqc*^MhCY>({dy%OT|c-tM~qmV|u(Fr~}d zq(|(f*&C`P1xyJJdKo2@Wfj(Zz)npvpO$uY-2VRkVGbm=^gG1-8w|6gYNcHMh=R?oVovKBQR+O?fu^2KQ?; z*%yI-9+Z^F&N}0>;dDNpAFYSB+q)Ku5m2bXxq&CG}VHXxksXpK{(+KTBPCIbydx-pe=; zFB;W3J#Fgh%C{x~mCj(7!HU9a0L|8k6DJxjt!byCPMR=@+cu#9;iXp*5v8TTIL(r@ z+$if#f}>FRqd`$u_+9rr90hp1(%XZxpG2nfhlht@6~Uqdmc5gwP61@+&21ytVo2nT z=5u3y&JR(`M}G+2ydycQAPg-w1!$-{kP6cE-U|@z+K2MpBW_cYIQxS-m>Cr7cy;By zxOSA~MW?G^rYB$5fcR=+LP8;G9$=Zk;sItO7}?)GJJ{MnLyFMgU^<83=mCqpu}0!$ z_T$$I_|4fvm*-KuMKpIbGU>tQsCv^0>BZij8+bATEsgFR<%eS2jEtUjDQ)fTxkZz$ z>i-nC)T=4Wx-JEmd-IYAfmm{_4TWQt*x!DE;auW$RaNn1q_|fsw!R(OlNfj>E1J z6vC?wWIdNWUMCViJ!M(b-e=qwV@i7pD3jY19BkScD-)KpHywN$YCeBXfQqBBF(kh;Q!;yTx&1y3X?rD5bg+4*X{Oymb%?fSnpX9YHR`1*>vZ%a=Zeu z@-DL%5;_(IuFcTXtvhE$J1(Zf9!&EEso=A=KBpFX`fJMa ztNiZF-3LDpyxcW}*kL%B(I{0&&H+K(2U7=!?m7GRRlpo>ZE+8N9J7YpHN8WyetU*% zdV8K^+IyIuIAK_S7xnVM1-=sUluKil4K*IxDY2WE&!^bK1KF(y`RSKG#0_^M^{r&yDy|B0f zkne|Kb8(5!-32qU$EK;Z6%)sSzLeB>|3~KQN5hCU^gXxGtgqVA!*q^$0=I1Xs7?G@ffM$-w7_R|! zS!%79;(2t13EhW}GmTcZd&rNHSDs5FniPB*V0KMbZTRTx$?!w- zQS$8_6P-VrepQ{BukzGtY-KA?f&#z8kp0Y$9%g(zJaN+HZ6ixx{3!%ndjoh} zeIVIoI$}SwC68lxS?Py8cywqAL1)cm42pl~tY+*nLiaAJm(xX&+XNK9#Sv&MSQgKm za45NQqS$jQ$g;XzNt!%5M*gQH%5vx;QyQ^62A;vwVJ$_)fHIVFaKxKI4q-QyoV zX2)J(Sf`X9f9Pc2IA_1I+SNrQEg~ukX*Usmon%QI0m>m{f#)r=?+_HsnSPyk>9qIa z=^OQy7V){>f=Q&}a&zfg@^USch+b%Nwim@vegxZedDW9vJ>cAD%TFubW2KqRyXQ56 z`I+R`ZBwVNyI31IF_MY|7;2kVlONIC(*A>0-yTEH!2xzFJGfTBnF1jIFmEQsxJL&J zjqyahQNw8G*)1hdTc}}`IMa7JN2azS_?iVCJv=g1p@Q%TuuEOyyb{ZDUF)$XHcy0X zh@sO)p~*2xbm#v_mDG& zXRhAK1UIoDI~zoIU{H`EbQ;LX8Mfu|@$msN;dQP&g$#H$zXs)@Ilc0l74fcYXjm`*Cpk%4vPQi@=r85FzAb$0*tyr% zDFY_Foa}uX+6>Ii&84JX^v&I1z|b0*TUhXObH7y)gb)*0O_Gw&GdW+Qht282dD0*v zlv@Esv^Cegw{Tn&)%At)X1n(H1vZk|$$q&I1L;_ub*n|8hDc z8Rzrg0XoHjJ$R{EzH@xW3tB1>xPe(K5AVJf)*F z#|UCkkOa@=6T>?`jy#%?m|NCPU-v~(Yf9xDYwXAs0ZK>C=E&HnQ z2wBavcJu6Nu~W0hv1ieXqvtd{kK=qR zqm*0GA?;ciGGn80xJnRQrhQalee`5%RqdfYIz#+yhpXflFMh7BHu#TWpSHp6rKLbb zi|Aw2$s=(PURuc)vSL;suq9j($GMNjI4#^G7B80Fy&od6HiQO5-`lX)dN^LBl8xRK zl#8nzi4cljODN^ltRO|x??E>Km9L_`D@Plm6IEjkg1d) zQxl_9wfE8VhbW-I6n+!~6My^D_s?0GY2Ln2#`v4pkISJ216>5uP7vezFCOMkUd%mh zqST7|PoW}<2Pt^khQMX|7}a*->k{QNNbqE7F^W(eq3T^@xci^QwNU{bn4|!pgPbwI z@i1aW@#-MVz!Ebt~-Ke|9{RI^lpIvD--5Wn)Dgo~ZswVqynvxaO;MC zr}XvMQ}XVG4^QLWx)s)Ou}QOnH0B6_8t#B;=xD8e9QO)wkp)vH(J6ci@)yWJK0Ys1y2)&q%eYYN<-ii(Lb zy0&%e?e0BHQ|ZwhD1`RTdBb+e{d)1s5N_;B9K{*QMpKt;$1#l1-7r=#$}cVku6?=8 zG2nXx+Nf2COy=c5cLPt)BbS;p*U6HXT0~B>)FQvKg2NoYO_&x3F`ov3Wz)g#^$7e+ z_CDnEP$9DWZ43HlrxcS^e7qpP?-80aA#0=l*IYoK<)!$XG|(?*SK0%Bs&5H5O0 zdM(DBtZQ{Kq(QIVK)JCfM(61p6Qzk@v5crz#D7oh!SIQJ9RgZq1jc07Pit)mN!vn`Z zJv&`e&FU@0KaD~~c?fEiDKDEtCxgyBwdFY{m9TO8$%`_pc4dpwzR0FyQo+Qr>QeM{ zZyioupoz_qI&bge#HfYtD*Ld(6PlT+#Dzs^ER#;lm^N2UeZTW}x+rQCd~3jzz(Up4 z)dA)Qb`z8sK`_?RQe{5*O&aAwY24jufRN0V8%~$p6CLd=5w-C*ptl%KiP9z$KQ7XU ztbd8$Oowg}w_}>}@Hm_KNu+a*J_g}2j=QctOI>qvw_-&6(MRMVt4aDa z5uJivE{cY^F_&x;?S3S^|LKyWp4zhfCiWIgMD+|Mq6T`px&U#7AQK;XYk$AGmeycz zFA0C|A5#w8tdnN%12Q*E_r?Zf1{%Zh>+`rNJF~6em5`jR&s2``(^5BgGZw_ zD#7nw?=GO7GxheiCSp>deQ&EZ4AxiAl;7k&4AwvZd~Bfgn=}FM?|;l)D3PfnPb3Qm zowt|X&l2ENPo6bj`gW`RwkO`@fFiXHj-%ZrgaD1XJ>TYZvV1nwn!(33Tj`SI7H}FV z?;I4rw`St4e?l(hr{kUha$+S5uH zClkuvz(s*7Q3%iM?6`cR)B1y(xXWIW8l}=im9-?;Jdl3e)3}$>$$_F-X6)7n2C1FP z6pPBIr5KK#+w1R}oH*a)nCg1#-FDGwJS;4#L(`I1Qn|rK{U2S&Eff1*-wkldwCsI8 zOf?KJN^&|}J4^*ivo(m82j`r!k#m;DDA)B}IP*H~1-s^%=r5NalvMk8UPSYsaF!>R zD8hO8kU8c3;YIa(>T+J62@@i)Ar>PgBqRh$96+TuHOaWSmDP^)LZuDFyQ4M7gy*1* zbrkw)^oDoVAra2gjcRj1*kkp&nrrcn{GLhW1p*R(?)>YoCV1Gfusn|>(}pCEKL<}z zmF7QrlGWk(>lhym6xyxh82$A8xul#N6CItgu`!@sAzmMw2#o?Ce@l;!CNFjeaNED- zFGR(~X|G%XkpSRx5RQfF8IUnDEtZm&1_=dSnxMRm5!p~hPEd$iwlnkEuN8UrbTePA z4|Bt3-~k=(I_HVX0VfdtM8X)y;Y^@D+EDqjU>YC!6 z@araXAZ$H%1P^uU1Wo}8|!fu1dsqMfcS=66X89U}uK6%&11V@fXen@kc0CPv1# zlx*-;*wWn6TJeF7o&l4HfxU^I!5wiSCLt4B8+ik3VM~h#mPiAnE#*xnNu;fT^#eAZ4VYvMkVbGn7FJFcHUR;= zlfQ=T_+~E3!vA7*k(WpN7WY|#VeGcE1X_=m*XUTfzG*+X-&cBpmxXow7XD>p?4Aqe z1Fy`Ptsd($58Wga#(MH3`_PImvro*5v2(3p8k=z*4`c^KdPq>tA}*+yujfUP|u3+xan_>H2j~^D+v$Au8qttw+{gbal+J zjR2tXSXV@rF4aI$xkE+ArY9M)a0oD}FDDcuXVIx&uX71}7@&6ru8V?xYw5K%phK8O zKj=>zIpVC{p9mda+uWhve}xNW8{{!qLmZyxnf6%LcXq9Y&|Un5L+SZ@l^^bgg>+gJ zpzNVo6q&Y)npu#!GMHh#CU@J`wRwHK#_hdHD4V%z9W(Pqx7j%Mm5H_XR(JNXgG{*o!!^_0AviNB~ z-OzT-R7^pmhyIX5u#UqJ@pYXE4_=Zj(bQL~h-)vKaN9R;tPKSRBHC|~RtSyg&EO`y zImAj;_3^(Q;086gsxOTjh1Fy-FDJdfAi<5TFXZ)T=!=aPNw*3tOJU7zs+|9jw$7frnuBOz_s@Y{D?ZZHiy8w0*Gm7TK%hp-CT|ZWJ zb@f>o@p3zhf>$<$o{XMQrh!q|>526>1AgtoFL8s6@Z8o5-@Yu3-H(1Z|bC;&d3L0!3Y_fQ9@tE z3LzdfCA9aNjplDd-KOwP*~ofXk2QxovXIHOZ*p$`1bVx>!jSuQ9ygmzJafq@j~bhc z*(8bkN7FL3JT1>OVyeT!{yGyWn12| z3+j=miIO(e>f=qS%xtVRys%?pl^&_n_I}*2(e-KrGipW0dfK#g3^(8e36574{`l%$ z--{9>7n#rnjfrtsF*=HGW#*jDi5nWfFuh9}QN(}WFw zn-){>jdyE^kH;ln}Yon8J>^f=gFz zUF8B@)qLfd`y(x?1~)T4be!_&|1P#8{H^=S%N@pVYo%84j{=j9>ot;4o@2!|S1s;t znDl;GCd7M%@5uHRA)V3jN4VIL;daEYP>Y9GuI`@lI)(d){3M7Z{5s~W@RUIjCexWq z-K|Kh-^;517X;@2f@tPshNkxF_wH>Pyo6%RQsC_RstKw93+6!y!s?1hAYq;X;6F5f zA41Co0#2GA+&harN-V6VBP2MSm($YHpjwE}dDVEWsjcnK_6k6cp*;3|1<>DsD$y1m z7BqlLfwguqu*jfXQ_3HL_!nLr!jkvK#U^{je%c1eWTwNNy)e~JKwASOSp@n}HF%V= z>Z90|W^c^>fndXazJJO;O(GX!Z>>R~pb|j*;Uq?M&2-Ry?5NcTg%$jmM2!Eq6aV9{?{ssoE>M1OmEimOOu;$h-6gR0oHlWZGf zVzk`!rpCModC~jiRpgZh>InV$664=vh(`9t92+#%7&Z3Wmc2aa4qo)bawK3deXhFz z!LMbkX}l?O$;7D&asJ?iRR(&2yc@F+*f*g|ELXBTN|NZ8S2{%T0`qc`B=LG1T#Azm zZM7jvUDfgDyZ+U{e_i|cH%KQ&1DI<%E+H^T-%zl4_uUvCT0Q~uQpao%xc)k{U~3al zM#>vbfH4>b^aJ$G!0-|kdfS~%X#Cd<{hFLe4=)pSBa~I|nytiZn38`VF&bCV!(9FK zs^Y;t{%|AB8l5`kk$^v~!FZ_7G#Bwr+e!Jgwn96-M6tgbrTd z`{WN3#VYe-bN_yalaXBgv8R8(?_T1bJJ`y9*w12KC;oigXsSF94%vS`Y5yJOe`oW* zd-K0X=y%!+4DtU8r2k~0{8w)NL2hzJq9H!WRSUy;2Y#@^!+2#Z0}( z`pTZ9SH0k$%+E-Ri;3o5OJ^v!N)m(7U;b<_U2SEqcy9$ElIeF~+C6W%0vK3wKF6#E zhUjOz*g!Ap473kVpC0v4KO^yO*W2q)q6g#|&ePFTvvdyk;$Te1W z7*(71=DZ`lol;lN3^$cN)tDe^2sH~#8pXlUIs(2&Hjn+m29TYMF&wxXWC^V>6=XkI z;6{#Wy;gEFbf9AxMzH_cyp-JSJLi!0ywF6%Adb82UBC@6ca9Xj#IXPM<@!m*A-PwYJZ(rP143V(A-dV8Slq_~T`j@r(>z0WTXGQ|! zBV5%)Nf)$I>2!O$uQa=VK5!j4V{jEIX3UgQcdyN}1!5im49;Jkf;D>ke1A>nm$i<- zTmhLt8YJdX3b;4BYq!O^UN|TGW)}at5l(Imszbd0V8|;mXB1H`mDqC-(rI!HFd4I+mRrlWhxalu*`PYpaw&dW`KS%WMe-vVq z`Qb=7FKMZ&rj7@6XU;G^#!M8rX}_Jf3@a5>lkw#sO*K?58Y>W2w=LygIE`w? zUfHv~9GCve#UhH*F%?%QY-|hax>H8u6e;MgNm-B~x-fZ-;gj%KFi2COk ze)_CGEP6ORfq&kIKfV~U2(;w-dY!NT_S@Hn1`E^lbl3mx3bMn4DQ zL;lag^6wod&LVW3vThCPe(bToeC~7GNlvBD*^gb-h!4KtWb??0=8up6b6q}rKw%ef z%Z(&1*!NFZW&GQ|`tjgbmw=h}8#6qr>3#i@Xa^yVf)jgvxmwe$ z9V2)?r^XJHU5*J`(;37rhU$HoLfYWCC+@jtd8M-RF=Be8-B&o~WA*jJ_zr|Jr-c`{ z+xDu4f^g!jY9({&b zM0h}_p*wwXtfM2<^9Wh(p&*@;pcQ`2DW|K~(rON1PoUTt_c_@K4f6)1+N4l@i-k*isqS+8gLPL9aB&HHV2H{Igi?G@okh9H1OifikX@*?~4C7;n&$KbF zcT7RJ-bxs=5JLMsoxR{4ei-hPRME|Xz*>DWAE> zZLbtX^?{8Nao`-7N{Y$2J#<9?9t+5jEVZ6+mnrTX4EPHIuiCe?fQH2IB-}z^e?S=; zNw`2;l2%wIt8NVkl+#2MK=t;&V^T!pw)P2)9=Qf#p5nxrIMuXO7ea=T)GORk8->Rx zT(kIlhF|W&T$jSe`sh;M1-hp1KrEp2w>Qb|u>o01L;ePP_BY;UxA$=OY)NJHCKP&})YMufzcWQrJ zMSK&dAEI?7T(S_7RNF@TV@w`fbCw=6wQl=8JDFOLdpPQ?3)4em5N12(Rb-WXz2R)IxUr)L&5%!>0)|vc!hN8vBzHKG z_uSQqsW@KT-}&(BtJPrEdGoIyu`iP(QnoDY>t#!ioxU{=O_w>2GqeMUXrNSc@W||JMW9Pn>=tw=To*rqUP<&=t0A*= zRK~QxXp0$=4#s`q>V#Ooud2G;ndzQyGjW^AruC7g*WAoL4moB0!Hz4$9kB>5P{I&G zOusG@T}C#t`6lhb`F7MBJ7eude06yvV{k)oP{*xyb

LC)O_^`UGRY zHhD;GRSyMvQ{4Z?e%UU1z&tUgrXt@voHp7q?z>%A8*e7kYv}<9QGwRv59>Av=;X71 zO{>Vd+G7|aCxGhX_TC7lEdm^KCDbGwh*`S<2jxNHQkr#ShnnvrNEmvKnhHoRbzRje zXLx`nEX6Hb>nn3{D4x$0h~r(vlJuen3pLk`!LUc68Epntbccm*=P#)^e{%k_LNKY{_uY>znm$ zS;CLI=xT(z^F(Jevq{F&1uNJi&(ZHORC?~ag@`GVKHe`XnoiYe&fiGdEsm83jA@KY_RJ$D2fNFoG%&s*1ePA zU0l<_;f+Zk#j;$G+V`y$vb@(eY$tp=KtJrU48y{j{^;`5fEi--i*V<3bGT(>R5EUE zaq%|DUnk2F7ra5HXxHWX4yUb_W$5-`s3{sbrG+5YGs7mva8`!KzW3K#mHaUx(cO+%19 zJ~{_jLY9t|FC_6-_w5b>O$}z*TLc{vD;Avn`PaiX#!VJhI7h+KqCdGUFk@j7Z$mM@ z=iFtneyq>>n<{sbOO4Z{6me`(MeQs0!eHm{=a7z^jS+5fxc=1HD?8@4tF`Okb$2He zG%-r$FN>spDsO?zT9Ir!`u6tzHlgD8idm5uIj~*v&)IuKv9pscX^J@JUJ*Ti zu(B|XM%GNq3+-iI9?-&de#FE1#Lfz|8Y-@!_4p>&y3eieUVA694+|1b3k&7la)CN+ zzA1T%c}sj1fEju-v$Uf2>P<7AtAOMPnQS(*3YX?w8DX;rf~4mBcu<0sJHl`MWDpS@ zlZLk;;_46|U}R zxn-zS_AgH2rY$t*o8|~pZZD-%x zt7l(+!xIJel+#$Ns~UR$9Lp}pyqH+xr-4k`ibAj6gF&^{sUUV2tEO>H_RZxYoyH}5 z8)DFxd}1SYq|)(17O~5K_s9vz{6U-x@~~)3fR$%tm~FHzmshmz`l_SxnBQb5qwC;Q zDPnoN`c%S6>-`DHp#e+UZZ?JOX#aroivESEt;?E0sA(`_kV@JJc3#)b#dQuob%Sz? z{<})IMb=AeGz@Vr%u;+ss_}5Ut9eWB8}B7+%j<3~lEh1l`NtHoucd zD_npnty$p)?|=|z zbY|%X_8LYhGWkdDsPyGKN@Eqfa4U;pQG15AuEUDsWn^ z__eV!{fb2!Ewzj0$PG5^ChLl5`uTEp|4^ea#-#M4XAn1}U%R+8Nt}{(TpF`?HqjqwatBl zz2lXAVhHlp4|N-YmKLdLmm9WeMcA6&j0btf+-beYZBi<`C!*hK)<0(SaCc{|$$jJ9 zFk`E>TFVP15H_8WZ{$IaV&Wd$cK=y>P9)v&>D=W^=HUxmL&n=Lay)ymGJK z@b=RMbXUdrwan7tm?_yWH2!?UgKp&FHYdK~D#9s4Cv~v-2igoIx>M&&aLiwQA+vUl zWDWHtCUajhu!M=w(g~-}+HVACyds7#tE7a}hVQdwsOHW)Ty!!_4R&quUVWqU{tN62 zet(Gx^Nc#lP;N$~;)lfY-d6| z(N2yaqt zgRb*4A=}TGP?#gT&uV=Ps6Oqc4KoOrW80=c1w`+{9lSt>$}j2Vqm{1OFWePj(IZL_ys(f_)|E8L*mHl-Mvwss3-zhr1MaY6Vww-#I( z38S#4Vbu)_{+K(Nbr-qAZ6AuRij2+%qny~}2xOf%-nozV;U|qxvrn zg$C|O+(*BR(qWY&7#nm;k=wF@tG+3VPiaSg)!Inqcy4zv6#+V=t9;b_BjeMnrG?ER zwy!cot+j{|;)PMjgm3qzQI zh&-hkA8udX4-p#f7sF|2M+P`|EZ){J+et-TULJfGV-{pYl{NMzd+ZGNcBHM=%)TG@ zh9Vu^;2sqjebr^>3xUyAHr%*~Dv@T~^O(UZCn!yD`6&cY7d!*v;P;lBqoD~UyYat9l&s{f?o>=~r$)yr^JG3q znm>1ef6z(Jc|wOvMGH+fjWtl z47Z5%>q0zG4ecvmwPFgiXKVuXX{tJ6uaMLikHt8B>2AJS&m5|u&TUENJ~n08)+hAx z32a4$LpkTMGk3Y04P(ST-mT|f9M6-m-tZ34@eS3--3vy;raVdlU$~0?Addnc5$+SJ z`#l7aw7lxH3bfy8k!SRsoLFU!GS*AfF|RB#RW4qW;|ec$s=8rQ$E=7?NGZ*-*nr4u zP&Cz&#x=!!wA#>2(mKM5rmCs7;`lTwz;1wO8bCEPOpzACUg3|EvF^Wkq_ThLZZ!3d zs;+!v<1xbvssyJjB81V=lPB3`w!39GnE9uLjVq}u@N`YtH;$&^& z+m$}6?1WQon8qi{dW$}e)fca1*>V%vh?85&N0KO1e1*2k*iQI~km>-Z))-aQc~4w6 zju9fs3@yD*JPa}=Bpf%s6Aupp27Rs};{4dSsbjB^>$0vBR{&L0%o?PVSNv8G;-`_B z%K_jGqGlsETco{G*m^?svs|c25+CCVkC{|hWQ9OL7N1pyQa_C6iG?Ii32hsma)3@U z-&_IShh_89Q1Lns`Q@w8pJ4A9OHO)yvm`SgBRQ``p5BnVq)JYO7OW>Dfxz4aG|7nt zFT?BT=DSWfqK;$Xf$)Y?vyo;LK?h!MfDX8|@I>p=b`+hG`LaU}=6ia-stE)3 z#p1@Lt-{xXHre!sjPhEx-}gy&I!p}jJSOfgjOi)pGv2=Aa?er*LQoiU zV&3}pg5*9;Uz?Z<$1-oR(b9szF0NvTuRK|p1j0F$VJcgmOZ9a@zLwyPCs*ze>{K(k zQz>npV6rL7NTTkf&_MH~oRnNmARM@_L@2OzDnG_<%if#!R@Yp@^xOoWyPqF!P^EGi zR*;28>|Qj^OH{8%@cQ`lobtBc+=h82uA=gHC)bVWz#fv?!0CiI*HrGgCxJsWQ921@ zWSh}xgx~wTJB1M9C5XAnSnrR;QA)hn#F+}0M1vWGuiV8;>Hy(5g(UCBGr>d1a+;)x+l?-lsO$EJqYeGn>@$lr@ZP}S?d3};0Q4Z>~o%>?d zk?r$gDjuEKonUo1LrGY1TQSP4rAHyYjI?(!P$!PjP{=^eoW@x*b|8p>$bDotNB*(| z7u<5ig(Kz?DklNbHNwdNBYc$Q&>XReK?Ip9RdbDbwq zXY>$Vqo;^(^gGX_*C$wq#aUjOidkX9%vYk|M(QXI11JFz-gq7%k{tf$wy0ASIKU^- z!$ZR>`}NdG&vEYwNN|>Ns}@*E5Pk>iJ@Z54$#rmv6n&3_2D`;xHHmW}^BsC`5Unw1 zkkTdv@*%L1d7#qqX{M=P|I;lyouV~Kf?_@5xx>VlPImE}8vH8R+2}!TF$JDOaY8Y& zaGo9YqUJF(P1-pU!^?AQhlN~pN8V@jkM`7T$-X_E_fj3a*3b%FBh`)9(iN&@Mv-D8 zG^?W1GoPenM(Sr1#*3F9>{XQLgDvI{>h$!KOoDc{#+KG}lE8cjzeyW7 zIapfj+tBT!v6QfMuxzl9SSDD8Sd>^Ihgc429b$pc2t%=vEqnz&A`5@i!?MP*gb!N6 z$851O;G-(=-Wp3E-mzjaW3gay{`!)MB~k>+f#^hdSeaS4Sy-95S=iZGSvamSv(Yj$ z)56DOE%pDyC*-Xy_3iWw{?BfY*<(;Ab#M}Ha{hj@FvU<%8>MJ#!E~FFm4hAXmaJ`T zDOuTAS^tL;CN@rvn}6K5&FZrL{WWLHj@5a5XW_;x*(PI#=rkU0sD&Uwz>}dWsfaqV zO#7E>C$rFQ12k!prmvgFzi^M{%!W^GXE=A7b8;1BEZmtbow_qE!=%v=we+FUjkati zXX^9L4QZpDF9v=0DN1H}x|43~v~2Z{VNI7eY7-KZEM;UNypIq4XP3p?ydC>X77r|v zFFtmdoWKM1EQ2p?q&x2qg?Su0GF4vaN$x~G5{W;bJ9v4IPQO8+`r`Y4tN3i7!Sc8_ zsZ+6ys=ef5#FU3SNjd_K^~gblNxw3}{yR*JW>KH;`dTr)2g%&~?l*#}sBEkr{EW1eGPpGhEZqASqBcU&lf{)*+9;k&Hgn#Or z{mqYxexVl8F!_sWotFM-ge4891STKHW|p+Ol=IiG?$XHwNTIdwP@q275p1Q{d5=w% z*borrnS4V|_$)RTLV8o(gpW?3?`pe`-_<>enAa8UVX+9S%-vTQvwvww-S8tfGv-=ki$Vv$mfo7ckILwlDkz`5;nBIGZeP64qI{-w}_a%pb^zt2#!Ps>02f= zoQx@<7Kc>X&;c`;#rb=YjtGE!S=XYI97;!G6z(t~*nGF?2o+l#D0qj{2QXLG=Fl0V zhymG!CXZP-exatbCT+s!rMSvQ6*Y7fUE6^{&2vN%Dyk;Nnx+d?A18!0P3Me5jFjGA zZp%>3wT~;`Ij__EGos3r)N6?;fG*zj{UJ$FVG2}xX^?G@n+q^_y*hmaUvIzlXN5C5 zPF^Z*y)EAgx|JSYwi{2D0^V*Jd8Nkp$(*?(yRrxL6?PaYVbhWZ#y&nJA$t^}iVt}g zdYN#C@U_>7L@N5p{p-tt(6{t2+D1r4!jX!dBTk4UD>O53GZwK7)?wCyzHd`lr9=kr z(6YOMZ`7unk1^SXZ{BXtA&+7|o`5c_sJOqSz-Lc)X}5d$Uy(etT5DF>T&$z+I&2Fx z>wz@D?e`zQ-<>uDT%&UCif{9z*{!S@4?7DK_^rIax!~KpbIwt4M_u-+RYfCR_K0ZqJQgc)86EiT>Cfgi?ZL6Lbn@|ZRmQ~Dh@Z%vyDe!hIp=4 zBfOJsgMnYY!zvWYvY)44`G7Zet7-!|GeI&o$2BndtP<bF55{I7SCz3lo(nT0RLT9rxF99M^T=?-vZ z^I-^5eZ6Ue-E6^55zpJfQVlXk=i94<#N&BPg^`--N9X39)1V<$pNu)K=U9V-^UVjH z#=O-5hH|4NvPz3*DEAM_QD|}pv*fLDD&5|))PcGLg{pV%&OK>riUn(?O{1dUVwmf5+{pJ(ZR= zanVMLH$#omdB=i_q)i`LtQn7YCac#e&{ zd6y#yp4f-PyG6Ny_fu4<^cB0Ty6>0GQTJQZ;v0uzEKu59D&5vEHEUaLP5WKaHN?Q} zUqS*XHA5_lwnw)Dne0r3luO&bkz(VP%;hf6<9LawZ~lY~<&3#e0b@ zo~|&&eO=wq#`r^i2<9wnb>MJC0}V%(w?Slre5A8d!7JKFJSuTNWwt7-OLre`x-t&5 zv8pd~bd?q^{EY*4J4xEmWxxR*A@(l2PH)a5cKg4X;If*Q#s-|RS~4kS;|{_3r4{X& z?LJC5EOwH{I6cGyH?TFo=?QW~;%$K=O7)U`@Ce~|o!V5W8Sg&Mh{cYI<;A2XuM|}c z1ftpv*E!SJ+Y1S5Yw`Tm9eLLzZM!ZW24>nzq&np`onqjoA6^T!JAX=X8@qrtzkRhI zevDJ?5$vgCQW0+bMx{M1t&S$?K@-mLU43h8iEAM8*~JKT?g(eNSzEK^*J@}l#9^=Mkb~SFA%Qf#{Dtk}Q>@@w zm#>fxmk?Tu^&+Yrf73Uey2`Bl8`3w)={c*eT5sR%9xd` z2H|`qk00a@6))WA?xzg9k&EUFFIDXqdW;YmcWnT_#u}fRXPP_t@>BEqWL$ailR|X3 zX7ng}GeCc<(4DnzOQwjju~(b$lbE}$i)ZRbPMoM&=Mr4@Y`U65$FW9tfTig~qjf;w zK&V^wjTRpYKX#f~e`7WK7@bf3Xw1ZpQel|O(lCFAGBv1Kjp>v=kD7gMb&rdAo2eYX;m}(Ow z%7tMqY;h#{=cgE^&+eE6q9SWc4M)m+H#U5Nx#-V2(^;hnl*4QO#%`6b+Wc{Lu}@p` zr&}EHD5V)>Zja!3ymF%^BocPxdgf9Ymh09 zKJLCpW@*rAjJH+g)!am08XEl1R*BVZhVHcn)w)X3W3RgzyW5ZzS2~8mYX_(&+R#0D zEE7yepRH(zHK!}|co7^Fv2E^u1KY~EJBaD@rU!5~mYdq^t}gl`E`5ybQ291QSa`DJ^dZDZ29x!_J^NGCE${% z=G`x}_wTWx$9+#{cgOQScyJ>N`7(e0?}VcuU<%oE+t=gv;LYLckw|d&a_0tXNt4s# z64S7=v(4YfV4PszXtm?H^1eN=66pWF{pu*#M^4@q#%Tu~uE^-Mavb`dlc4&h|G5P} z^w>@*JD0WixJ^7)!dJ8-dx2w)f@Cq$Tri?GGHuUe4vuhNW6_0Tyq~LDTrTq|A2f%u zp!7#u4kr$D6Y!Hq&`qrnmcKn>G3`u)SnAOBBec5SeplI`k4k*LbS*BuUtnpc25S$= z?x^}<8;j!Y-0Tt-%xL}FV?;NjW)9XU-#*$$ODIb%KB_-L&c0{qDq4T2QpI(NXsADG zjPtZSX)W{yCYNqalp=WpZUD9UT-sD-gtuf7r5R?azH2Tu0G{E!)~S;ZLW8H$6|Lsf z7qLOWicawiQ}OT4SrrcWKFAMoZ;TW&r#8ITa(c;YmCdcE-Hsqlf3aa(8;CkKWUyjG zn`LOuTVaQiLZ8(Tsd7g%3KVX`VQi`2k84=>O?l39#!|xEGw0UP2#Y?zjzar*7`%Fw z^Z&cQXJuvozxJ&E@6!}EX6FC7EA>+aIRrDIblqSGl!U`%(MO_x50xLykCySN@Fr#| zk{ToyMS6T*WnC$Rg00H%n!5DDUc2Ja9kai+;VfmUdWZ#P;UvO9P?MlD3fql*<+n_%f5Vi!_xUh zx~qZR0B6%R<1*8OPS1pAJ?B<@I?)QSnZ&uOzYOHYhG$WA$3XB?4x-t^tRi1h97)aa z7lAi(Q}u{wE*}Gkd|zF#nj|1JVJjVxy!cEWm)$59!bFJX?Jx9BnSe?s0sEawhLOCJ z7go4iEGk;|4*#+>Nt;g?^d$twAVhkplx)HGuwFDCjZV1cP$`+;A?T1m+5&bUB_x0r z6@@1^U+g26YZ>`xcBj>cOOhE-PK4td+6r{K9SRIwVi~NsGz@AJiP~=)0H$5#LM!meu2TJ|pt&Gk zx`3msc6I?0L#fiLI`2;qP*JDSeu3xGXHsqmfjPYiH1_T|X?gve>oO(aaq+^^li_12 zMM8osCarsti8*fQ7I}}Dn6(Nd_SDC_ThWu5&%eVb z$Zm6Gn@nFb)omI1-h*rf$#lF)r0aQ?T!FPbFEf%o6p1w!H?_inuQ^ra%Mv*Iwb~t5 zeE{xt__|GYF^nm{`kR*<0fE2UNGf{Hq@z!h`b#tZ2bYT3Vo@ntfcv-RbF3PVmlYoP z*DbO4-(Kgoyp_(Io03uV(GlP+tsaN|Au)mKzNfo}r`to18oMmXKLF<(<|? zMtLMgufqe3)FYo&J789XzbDmw8r?=?x!-Cy-n&giu~VQZ=MYqB8Qhh zKT~GesS!9dOmrm1q{I*Z)NA}o89in@Ylb;c&(laPm0Bv)sBG6(5B*F6QrncUq&`cO z$yXYqDVF(8i>%^gf~BuDq4_COu>w&k1|pDeVS#8Wse(=TxEEVGQVgQYQH|c@J~{aW zM+M2Y`lzMjs7_BZ?Y36Q4~xCbQi+uOG}Acg`E>e?&yMr^YpMwpNTwjPuCPu;3ytoR zF05@JeULr^FQol$o$U(tXsv2U@ilu>c5#K|NCi)GBTY> zI>Qiqf?_<#w$-BTF!q?Ff_N5v?S)Y|kw|;?BU3}&_1_&s77DinwTPNHjbkg70HOAf zw$WblQhrtRLv#h-jqZ6(@lJ^<=yi9M+(dg9AF0iw65@iNxlD`vhH%f~bu6^j6qXs^ z7MR~Q-0DopOrIGtp?DKV1s^Ws1o0nkJ`dCRbk`k1@Djc=9$>(Hg$NX4x|8|{h>3m4 zj)0YN`3`7?`wG$PNus>-nSNZR&+(%aC`63%59NPgh|rzz%(GVbqXx=UrzC;Q`WBbu z+^a|ft!-+0a#EHx0MYsww!)EVn2+{w>iF#J2s~g?t;S+%U3Iszs^t^3DH%P=ddR^> zXt2OnX}SO6ku}A4Gk&e4?(%#cC&`o4SVt>752~{GbGF(9PQson*s6Ej0x19Ot*5u> zEmF{7-9x$2ben`hv}EW#L^y8DdV!aR1bprs_hkGRQ(uF=qrMk^EsT_F7*GN=6#cd( zjz5VH(+7LlLpa5ATrnR@AuqVF|N4HV$dZU^v-7dapNDvjs4@#&ZCwSyxd{mt(KQI? zn1#1f!JmZr3lTbtG=dOsoo^E;uaHN-GqR=G5`Id-P$oY`4XS#_ znANzyOKGCdL;1j4NK)CgTaXHpS*GWaJ;$o zVO}Y~pY(;Xglmi5f4Vz$MKLIJ%4yD#Pum_$7%-t*bb1wNDVJ5#| z`4P1T+h#k2y3g51zRrv4=65wAdNaO$@+Nv^y5ieV6byQcu_b!NZcn<9;*&)F;rGiQ z!Y|?? znd%XhK7Ui3b7&`e`ud9SM0P6-D9DNQYYHd)d9mLfPZ%N~k4%0y%L;ZmYSgQTJ925F ztq;#FH59sc@eA~a^h@y1@r?4Hx+Q-?1S5lWkH)}KJJLKt@gm5xrqh~7PDhnZyjNcd zcD&Pbe|AeK3L73iL7hvVm2g@!f?>{sy9aOWy(zA^UK4Ud-y;2?Ux{iir(LEC!F=GU zCZZ=S@6S&RUFn`NZ!$gM-NUzj-mp)c-rQGIuQ^CU@4dHQcwkhTiO%@qCeoH^luRC^Ls+Qn2Y}b@DF(gldhK%Z411@+#gGR zP$WHrIX~$C3xYpNAC>)D&TcL8(*p24r{rI&{SeuDSM&uxlZQ+`i3>!pJK6NdesTs|uQ4exQ! zV9O6iQvU-V9E5$8{tcxcZJE#D{{p{{tM3Z<5#1jve%vnp8T_9LpO4#(`8^q2%-uT! zy2GEr{2#R20)EIJv`&CG^#1{5A0=nN+rQukp!-7{8z0060)CwL#~mNnnecmZxR?X{ z`Ck=8hXSuo7jypyb_HIUKT7`vIYI(mVh^q#0*+C9-|X3+0}zn{x2rKZr3PqpKiE(FpBrQMSKvtOQj@VH>aur(Fts75}KV&lp z)iTcex})_nB_PyX83g^~<3vf~?;*YduwruGmSqIR?23i=3g&FwaAOrp)sERDauh^$ znl(z<^SCf{%blLdfU{+&tz`>5DHLur%+ZyuptC>AGW>OBY^JpPP7-s*fx+Ie6rV^V zs+#~CVoY-A5>x;2PW359$xL3ibU+bb)9L$RjnU8bDc|Z-GQoVnS)_>Sx_tIx6WXTf zI!~Fx?fMibsufI=O0@?TV&G^*5u5d~rDuK0(^gz{6K=5tzghL4n=zQ|(uisa}sFd#%mRDUfDVgDg#SFA>xvdR`w?aBuf5el`E zDdspCmmoEv1)4J#nbKB=`Jsd;$we>2y~agWBY=r7}DoN}q4Vr3{z zDkCEzs)ry$*_rsi4>RchS_r-LM7P2iGRbhk(G{tbqi$)@^8r=L)xVg~ z7Q-#txYRA~lvOPs!2+Ga#O;F##6Sq;p9wlu~PD}zt7iZW30}Uy((5N%* zpH*1zuZU%t4=$ps%a=zT$T927*l3!+$LfS)rF*kvO<*R?m?+!QOLPpHkTrhRMQR)@ zGX~fsAl0WR1pkt$*3`X)>M|%)#{*+_F<@|J7^j+XkS4Me6$7>hHQG&0XgBKVwUmly z@F{@}N8)zu%s9e!=C~c|a$IJXSO3vrtSA@>SjEubd7J87sxe_5F+7`U9aFi&MPi28 zqzRj5Z8?rC4a-I@dk$9ZSc}Sq*zDA%h;9Ul^(Lr79ecGkZNX}!;N|6&V0_!rqjag! z^i=;aj7y`Mara;WBcmylJ61hWBx6>q*kBTt-mgYq9p$u#{xu9Ms?7hb1p}cZA3Dyt zAdQO{U9G2Zchg%mW8HMXW^B+F`HP=WyN_a7Jz1EACi12i+o6W@c68C>_oiA3=b$uT@aI??` z88`Fh2$aqZnb5+=SIhCBWo9)q5bEMENu{nN^HfF+s!y7^tC`TwSq^I0VRv0{6`E&& zHLlbl(GpMxG~()Y2SY?NcwPRd5_~mTDO$iq`SRER`e`LvxpY!xjnco2`qG-M;E}p< z&XKMchu^ZMpwQ93Kk3#%0L4Q5#G>mor%T6^qkag?1N7!KYOQ0+(m0qnrO;+fL=;uY zu}pU2E?P=sHhyPiz;(UGgzrLl+Ko8?VxN%!Gb3XDh`xX1qx#?lCnEO#+dn%5>~Bu_ z5k|={i=NQK8o-a)jvt}7&X7hqc5#I*cTuGmKdiveF?W8~!LLmRY)A+l)}TXgJZ{jI zvtT;0(`Z@9j4IO7+gGso=#67(fXE1Jcv-7Y8Mdj5t_{qJh#>|#$Ng&_jv7t;NB{n1 zLnG+M$#W)u{uuy>N{Hd{1S?!h70&HKmZW?n5b|>fU$U(8)E5R!Nv~)-02udf+G5UZ z=o(g?SXT*na`e;27$#XVZ;?8t92zMsL1B-H+NZx)R@}5QK3F(yB+FVze#CGHW{5GK zLMhzls!v&R7-2!yrhRx}2||A5|3?XE8h-po9MOLv3&osVejz}y1||LYIUd1*U=ci% z0X35fjjEK(NI7*nImWJg(?IIw<#65B)#erI<+WnLLi*%vwvywXG-uAn6)UWnI%i#v zo1@*PAW7pe8n{oi;Y^V9Q^yNuYV5eulh$ z>BVCeC%oq6q088Wom4RkT{pK@2sWAP&i|b(5B~*w&3900pXx|5``g1 z_iNljufMOh*BO}(=R;8@>0}SIe+DaTnoOZYe|T9)eay?e2sx(D*u8kFZU2mu_x1IE z+^qkc3X_al4GmcmC@P|~^`{*NzAi@+H*f5U7+UnXVqmaz-JI zy>X*-NP}a{$v=-ua4nUz8_MBh_Ab*fSwyFMmeFedFWpV|c3{q!;1J_87dLC!U2e#t z?`Fe<-%kjKyS{-B_6iNme<_vr-=@Sd93l2!dYxH* z825i>QeysA92Shkz<8{=S^Y9fwpuc32jA#UotDd-Ruy`Y9Ssc?9ny1jH2y`wbmZXR zXBvc%=;%@mtZ;(8JiY6K9g8WDu%@gu(i8XkVs`dUHol`XCZ;9^W#i^QYUB)+ia-mz z_G)D5A@rFJWj@2%sUtcZHjH+`4-`htFoIwTLaQMCM`jiWL;o!^6M{=f7y-t41Ameg zJ8c++63v7$aWj_{{CqZdXbfTq8b;bms!_(;k?T|}30fKwHOve(W#-ZXlftV)7L;>w z=uCJB9W@%Pje7a3IcSQJ^kKTk>|YCw=|uy9|Cru?xqyPJl8n6{o+wx28PY2&T)+l` z$sjfbN(@qnOciZzH|MM5@|8B#F`H5a`ux$IhVr=s`7E9lSpzY{UWj!*RJM37%R2v+ zw#lH8WGR0pZdr0W8x}l#E+1i?Cs6By4OLL@*|>YJscsz#qJ7w#nT-rthnBB;uh;<3 zO9_T)a~Nzy%~i>N4;BdnI%>=}c@MRuxnp>=g<&d6=;73~}iJ9}Hg>anb?O@)>F zLQLlBoULuiBS)UU&bm5vwHR&uC~2jtIz7gNwilD1Q&`HkrurJ^EpHvF>yotWH4R82^n zE!tvEPe;TskJSp&FBCYF3;M1Vyf)nHm{^7xa%(oAt7;GIQR;slDnCk!(;O7s49cCL@|qJf1qL%jb@ZGEhQBl zOP+=0Z!=;`E`}PEG2pXMNLht?E_n2<4RY4CB#N?M|;gh7+4HrMW;-qh{ zo90F_T3r>OhfyE)MgJ0&@cl2~EnRyymquB#>JoLVp^(fkFTY!mh>%4ysk&z?*gJcl({bms7o%VNotn3UR^%Kzx(e3P4$xM`wc#fF z97BTCyZKnM4q&8KmV zdSC4&Ig~%61GE#{_-RdfO}&N~aNspCsc^H>+}w^?PY!yu-P|VNp%2`e-J0*ofmI05 zRogW=&e7|$&E4JA@7tHMd_Phc1ujoC)+5NGgWfzr|0VwO+klPv<=aJ(#bbPzbA5nAv#Nlc{Wa<; zO^*b$kxWCuK-9Y4viBYule$UTi;Sb6pLrFsHOMUYPL8Hx9Yo{Z$(`~}-&4?<1qX@` z`ohmZRO{7NT0)VM!NZ?%OwUlsr&OJ0SmI#>_h|n3>FxR2peu<&ldzg2UnsFh22+|u z&_3y1$SFO@0>|x_fQviP+c9zi8T^Zt`1l53o&CY}jqs&8Y5TSi7Pj zy@0o8Tes#eeBX!E7uT2Er0@o7AEpnz_E|L%@+3T^AG7hBS4StoX(z!e{j~Ek&$1g6 zx%;+0)jNsmqrxM8C*0MT<``!p+OhgIBHt^s3gawM0Uz=)a^1vib@{pOuZE{A)%~r0 zApEh1>amB?g{U_gQ2Pl`eh$AU-?=rU)-Jy}opjiuahNagsq!aiWFuldcG-=y0ZT_o z`mNoU0Z@)-O+MQULhm?D%r#0Elt=@&H`2Bkrx^t42f*H~lP|lZ{raYkb>Gs)wNfJ2 zjcv~$krh32U~-lM=k?`a?YBXSNOj#Oxgr0ppRbdnyVLr0U7as@)2GI3%c(EsXTu~_ zCo+%OxzhglW|cmc12l&MRDe)@f~2XFiOK5DizND-T!ILxiSqfrRrl-FNrRk(6!$xC zmn3Q(zxq`=kLiHl=*f^1;W;ps!PNV4xi8M|U#>w-8nVod0gWb&o!V{M#Z_fVXUJnI zOUcix8oJ3h-(N={S5sE!8A3S#haA^Wdao%knE4m;@?8d9;(lG;SvnqF zPu{Tw6Lp()gT%UspG8BzTWFamJ+jXNPsa9AUQ*s<&URBgb87|+^desa)C>ZzfBI6` zK@!GdEMr&}Waov@)7mj!LnlG>9HL|a}E!S~tFX=(H4M<-2 z4X11?QI7L`M+~MK>%}w~3!z5?%2_pQUZHAOg+dw08nH0)!kBK4OCGmJsrli_O`OJG zbG*7SFKS*XS9Sf+Oh9K$1Y$@pVM*5?t)Gl)!I-qHX@+QuX~^j~bvWyL>YHs8xwX(@ zg=0x#D(d)lI6HVcm|YaLwa||B?ZVr}iK8E%qiy5h(TS5GHR1 z&-z#~+d;~O65cc2L)@00Xgz8e3_DjDBq%fP#%*n|HrhDdinOj{)KxR#m~O>Bb$Fec zIY2YUiy~iN50>ApdA1R)Zb^m(>ke(Kv+%v9_C7KeV$|I-VVox@4hx3Qt&AuM+VR^# z%TY7-pSwP~4)V8dRJZCf#AYb`czSG6PTVMI*3iX}!2d#VtWdMH?@hkcrgvj{5L$AN zmFeF-?fNL9`kf_88fHLlHdZf~S+x0h(`IhRMpd~Pwy=#_iXt?rGP4}av6R%7I@AzU z67W_25=uS*DboQlvm2dl0;$2D+w&q5U0V-Pt~jfeVNVBz^+CSpHcR0?tK?CBf#R40 zwow&viLXYWWY+Mad0t!UJ`apl(J!T<*fbI!0OCvd#y?|2MszQBNcw202<9Lo0*g8R z6(oBV-Fcray7#$8ey+@!H7tgd0lqrWyf!xd1d{Scxw6mlQqhS2dTXWhrnY@21^3{r z2^RfIZGQhuzx@i7f%kl@F8ANMq}Gqrc08u;f@$28EB0Ny1Sb)R4^tc^+4%HP5>uO1 z`bxpgeG|y(p&Y+7V+egj;YL4*zEchDIToNOHuG=GGDkgaju^jh6(Be8eta{T zn!ENAPT2R#i0sNLX#TCOI`-<3 z&UvH!A<;zNZ$n|wJGBXTmfT(Npz^As!QfY1!@dzC5_M}Op`2Q0(S>d@K}B|ZZ4vFs zieHld)PA8vwQ`g;3$X|jKE>!&xB#lZW`-Qn>HEf}x~D8aL~EEZoVo2Og=B%lm7YKb zPc&{qCZL66J5LaqCv6?Vew>Rb9DFgtX3+JTbn=6&En6e%uNR35EkH6+z?zkM1xix5 zB32}tgS=4Masmcdw(Fd+`o!pxs44W6*Vz85l0j=X(oq`+#5j?ff+9YA4H#=KhoT>3OkMCR^8|*32 z^cYml&T#s~{Q_d9J$kIT{7k;RmHN52gIN!avsX)9+fAFjvac~oJ!6Getej>_(-P7T zS<0iNqKXO_YFiel(#KlGT}4%CjM}Ha zA1=@py$sot=gKUK&FhYvYJ;VITRs?)$HNYR<@lXAmtsd#ZeNb13~g{O<7sXnfux14 z0cjglexx~VX%udB*_RAh;!+)T2mS@R_VJWd)`(mF_0c`p}lBDO`%K`fIS* z>!iw^Dk_xBoX8;0=C-Ln*}xlk%7Q*sD<*lvU$5GSqFn6E#utWWGx`&{!dYX4YSFPFjsqMW}WN&*hYLrRuV%r{F;V-qQb`-o)KYif` z_t3c`rMlr|bCmaBS<E-(FJFE@RtKw$aVDHYdGhb>RSMI-{RgeKg;?3Sn{rEsz_&+QAw zyN3L#0u4gR)+d3gnoLU?{v|O*>{#r8@(AFvBI_`w*6UrZ2&_8XS#O`0bB5;@5eUJ} zjwOzMbg3ZKBH)wA{Q{pYr!VCvZP2Ud&FDWX(*Q*-Af_-&!tv9Q)ZiOQOJaf1824pkVV|ygQuF4lo0K zd_CVfpF59r%{-9L}5%;Ym55 z+N34b(+G$zJEoIu|7!@F?VjEkmc2fNbm#y{5a&$4+_2bzWpP#J2IyoN_wK7t(rbe4 z!JQM}ujnJ_MPP7m?`-e6JafLn)sG}k&sarhrwI9J3LRXMLA9l4H(^GtExec@Ib%pj zX|HujF;cmQ@c8Q`);AcF_>X8t>2AwbxIqgp;UEy>uHW`APj=wcpUibD4j{gcMCH*Z zo(P5F%6fj`twN%iv2oCa#M?%YJ@2W}A!;!tJ|U5 zl3PF~nY7QfCTIe*lxSEoRDxOfBCH}6r8KLN>`eRgN5PIUkJ9}Y=LqlKZd29!S2#ZJ zPK=i$L`bV<8tj0Fy!e%a!H8r`RAHKw~_x-&qxgisZA$*f9t2N=+u4z zv2}+ZNeosbF5A*1y|52>WOWpq{qFrgDuitb@^p@Z&F?$Lp^54cR4B(_zS{&TgLQer zy68HGWfJZ>CEvtSKLuM&nNNci6UT>OJcGhe=b%V3UvOk1QusnW1K1xMVwPXH{rR6( z8moS;3l|jScFWT+adiLY$mNrh8F2#lvXjZQ{3HAFI$pik{uzuQfYEX_x=hjIhw(&OJCPElLJe<`BG2N{tY8vCH`Uk(F^Pg~e$G${ zai5imp3MLoB~s0$F}k8M`tQ$jy4raIc3;m}_IHVvQ=b*Nnd!i-am#i@9E`uKC(wa1y;$Tk)1Am@pfqnajfh6Nzp|j|_~{ zENWXhTPuwm!Rgca+!TcH?&$c@HiViiGH7&k=HJ&Ok3O9+qb}BtGgFbeC`mw^@Nf+! zBnpr8pOX0u=5_MP)FVKY7hDg#lq_~Y2X+@SL5&%s%}ZXUvzupnXTc}_Ky zDuk%-h9f4xOnVCotDqXAs@8GwiZ8EvO1bfky3S4(Z0UMrS@*5KYlbpZLmOwMBH=4U zmT#%6ekAC+)Q!zrw*RIzvRvfR8>k+Ldl_dBpzgWx&#flDRYFeJ^%Hz7uq$Md1saVu zq|+a0Mfz5Xa(yK~2naAp@b6Vrym4{-da`Wj7-Q|{JQcL6-dwnd9JP>%_&JF(Q(xuG z+y~kb`>O9H-`3W42`TOgKDwb$JkV->cZbhBwl)l3j$zoC4^L~oEnZ~CkiqwXv)Jl^B><;O&kJejF4 zEr8RlsiFCF+^m<9`EMyLF{X%(jK3yPfMX6Q{$_j)q#3t5X%N5^zWZ4>9j)zWW_dJ+ zHWSomC*2{!r4?p6!szpB1v}9&7R{G4m3S&1&(FwxgESbL!wfQbYRhAI(aJkiP`(XIn*b>2yESDtOGy$ch06qP?j>?ktcB`xQ=gJGqx!|aZy>hoXi6sO# zJI_{!p)+RaRJu0+#+=4hyJKG-qN-(+{L{<6pgj#7m**{g79~=ft&{lGj>FovJ~F~O zyVrrE?4I}I*mBssF}chstmsb2qo z06;*$zb8M2`F;*oVQP{uIf*2wnWT~`N>x%-=_D2GP`#w0s?{1fw~5!9n)CDJwG~H$ zH|J;)ka<3W?7Gv19Qt*UJ0doxD949&D((liRb<{$lWEdPR>|A(b6 zv!nbpB9Z_(erU4Nn*iYu&zs~ytFl0o+*Qe#car;sNry^ zk7!B5OqoH(k+ciZVUNt8(6DXkGi(0bcxuIcrw5idmCbh-MFZuz6}OcRiPPW8QhSI2hxqg$rP0#6Vmg6)47kibPUpYeTx|l}cs!D?#Fy-W5T{qxg`T1#7 zX{t8{V}>lV*<$jQ_M@e;0_6373&VWI%85zZ(AS)y2-<`Fxj#I6y|uZon+Ai_RlJ1$ z^@%*M+avp70j_Z$uF(zCq?HP*y4+%Q-Ws<`*xBSx?>3ur6_tviioFU!^8SjOp!}6( zf_0{HzF~gmHuZ0GTMhfw`*mmdGnO~4=Pl>0o!%d~A1o#lxHuc{FxkvDi_5A|S=3gw zD{dQXTWi_qRakA5TI@EBP0%tMb0GKA280e zAW2!1keV;28|ka`EWJSgn<}Zza~vN1#h))$_IPyt2n;Jx4y>;3`X($=n+^){Ejvdy zs^C@CSrsMqO?CMfWe7`K26G@4dtP#QnQem&pRdzZwu=0+)4J1gG2dK|7V9bKnXi

o5*U7rWTZL|Y06b{*;1(~uT~Ar z7^JSr=FX}hH@9!D6mN*1jd#Ys%TSOwRI8TzmlVAaI2Jfwbf)Nn|3dIDMPFrK32KHa za})4Ldrpo>67*vGdr^ocSo{d%MKhQau;YkJ%8$fd2^i2WYIAc=z%-IYRP^tXdKB7# zZc5t#E!loR1DXVE!t;eKg>+M4TOlpP^G7BrmgCwJ^b09NibGrcWSk=ZfPP0MXo^yGInS9R5Q$&@0EL1je|cPK;83E4isFW?LMIDrr9 zLZJ)UYgO&k<-24I z_hPgjL+fTBi~Cs0F>66j25Kcelk||M0h0m&7nq6{fYYe8gwtp_L8HZ}a!Q#BWtKvr zR5DH>Xq3dG1?>sghKyMaJ0vX+f=Vd}N}khbxDzlG<3$NmB(+MVXRw34#3)O^cajyV z(&43t2E?mQJ<|)40u(mgO)=C|nYK_R1`tj!i88mYDv$K=9I?B((ok+l2MiJ%uD6WT z>-C6e%~&WkHG|1-@Ed%k5W@l3v5uYHr|A8&cP0aH_0ixu?6A^UHflvMrN#&KqHj#?9?BnnxTuE!} zVm-pVSTAcQ?b;WAuDa6>ji@i9OsldepGS2O`9TlRNZMv-rp?}!u#&Fy3Wzu|&>+qX zm^fZi&*bK0-s7Ij&vo(jby#F6dOl3(>T#=XpSO6) zoFczH9H|*`|H19+?|T_Ie)tO=;q7Y@Gdo(seMXl!^F?3$;PMAPtSD4az3iRi(G~~M zJFO&#+>r9_S1rg`s9UKzAG{J21P0645^jlkwS}ux<_J9V+j49I^G;HN60xJhi!>&r z$Kq^5yOr>Aani2W0xDOMZ6sx??Icgiqf%Z&URz#g9+zk9(K|jxjH1zNj2b25CS#jX zVYKD`Qli|zg7ac;jgp261a#CY@*SDX{G!XlYQZ7UvxB$3>6qdtT$gJULp(E376X~n{G@RNf3|tm z?&oF(Ha)ifovBOTSwH!e$3g%7%x39k+JPvd}nwT2Nd2+dr(myYs*ckGwFk z9b6qSBssqOw${-NpY@MCzh&Q#UW|g_sf%nUMuD2Vc8qYT&UT}tAD_Nq0Vg&k@GMW& zpGevUZJYKjI783DIohd3m4X_GR?;%cb68RSTCy{m#Tez7mX`*X@}Gl%gYY?^nJck&d1nF3I7Rr6^y6gZTzE3MzA1WV%*786{rC#^x}&H; zE${m+MSoj|{$?W~5`!hu@j9eJF;6TnJSVm!yG7liX|cCBRt8%_>tcJYJMFuJ?V7{( zqoEVw*E3#Mf2=htNCpU6YFCBLT8llX4eDy(5qL236k#AA7C|4R*W^T;dXx_qYRz6|aocWA-SLk=)-^CJK zhw_U0E6o+%mGG64T!mH@t{~;mw}ihVFxZU>E~=7y}tmi)7hkVHR8bIQ*j*SkmM^4Jut1ul7{v zvcrSSy3ol>@+0nSgPBvBfj1DW{q78 z#fzi`Q>L_(7MG14wc);5D?@|nzEy2wSG{_udHD93Yer6K+W7Y3$@3;0{Akv)+UW}y z)W)Ypl3xzm*|6f7CF6#a&p-^i9V1~6`h2RR1hH}^ZrMCK z9x>{X!xjtCT4e;XgZ?PV6f?b|Xfz zr*Ay_3XNsU5ascc zyCsJ&_?lsA*&F)P*;J!-7)_!|(_z)fa%CmhNXg@ttjP2ADi4zTGKW~9_j!CRKIVJV zVGGDqKfQ^I^dQZ=yHU!Bcrk6Kw5g!!-vnm=pS=yR_X>a4|GUY-4oW?;OF#bjpW7Xj z1mQ2-#Pt2y3bOQ|ph&QA2Vcug;wbkD8!lhuU}5=2v{Z|9O9fJm7D7j1*GAqWoygz? zf#)d|0p0_Mf&^{A2#DZCx;{~*;5f#}MCJJOMpk9IYP(jCWm$Sks_L8aE4wSPypwnB z<;@bg1-U-g&&UUxcgNK`P=JZ4FW4>oOk~AHx>!lpT3C-D)0UmEH?sDyN)9ngKQ{hg54oG{8FwO zc?Jm;C=On5f!+nK){uCg`BFhN0rwpZN#25J0WCN|wIqT7+Na&OZ9>o0hfC@0&8DWG zuRmO?#5$`UdWf8*oTPU&EkA8eVc6L%PNl{XR9m$!qOvPops{4QK5Zb@V0Sp2R+r$DZ>$fN z%0qi}d^|no7p2ETxjm=7p`O!rch6}{`t%`FdXSzHjd87BjUQgFAEqB94t3Z1>h$Bp zFDL3)iY!r*9QoGuOJ;c%IQeBW^W3<36T8CjQ=j%>B0hE%8m)TkgN; z&xv2_FN>GmKj^;~e{lWaE>P)f9Mpr=3Mz@X+-|2zm*G&Eofd~#Nfi#I$&lqREpqEc zujqCKhD%8=o!gC-C2~nJ+7UE^e8R?M)H9RWtW>I$&IJ4@sr2|B zx>F|^5;WRg>jrm%ek19;l5UjlTOHHw_Rd_F#yguG@x*GEDS*7^AjcZ^SCJHSSIXP% za^>GzuPd_VxAMzQ=Ua)`1>(v7>|4cUrz;he_?xBzzuYp|j2ytHNT*?wuN3{X55%Bn z=O_(NYRdL@|L?@?TN;yN#@J&0;BS67S6)B5`^xR*IkPW)18;m(8}>vL!Jyt6{WUl7 z`j$1f^T8ljI@C?_Nng55icTOC+-u1i_uAN2`)|Vg?fb)5 z>|ceyjA;6jCE>-fr%SfRb_QOEowJ_{pUcVMDiZX|cKy_{3fU{1*>QQ4{%*3wW0J1` zJDWRRBKdQ$bGYKS1#SzjwV#KN0-wab2r9S$1hpk1GYJlRmfIXK=a`~JB?AM);^Sbv zZ9;f6HHbv47y}am4Ha`MS}NKql=i5-WE4T9u?O5awg@Ls=C-(NV`~CW2hPV7-U_K= zRK?x&Zq~pz2n~vc=mKG$eV${kdwyVEcuCGG;bF(a?v1gQink)4M7|FE7_ikT^&W@H zmo0i6W}iP6AdD*@rTLx!%g*gv5MxEzIi;m4b8e2sVx~novZri9<|Dg(MQM8IFApv4 zRn>9%Vf%nV=~2qU^TQ`OA;TSYQs)>h-_y6CSbjnrSehwe1x^WeogCxjlNnk=oN(af z0L%LKrC@n|>}&iM-j?Q-}(FUupV+qr5xn_m}$QJsUh9OkbSpL2HGfmz1Tq zEw*H%gC$`2o`+^9Hug{2R8}zMq|7Dh<yN7jX@SeNVl}>(rb9$HmT5}W9i6%K` zHeId1da0`Go2v*pGQ&8NHm}#@5HyOQJ){nqgMvd38Yb)=!o#=-RUCt;L=x$!||2Upls~ z1FC-i>y3Gtjx4*HpP7uE?O2=q;6ft#&rMBxvX1Qe@%W9m;m#97%tl94&XRu2lJZ`W z8LM$7)u|n7*TdqI;)f!?KwOa3DsC}uHJx#saeW{vt%l4jmzya}&}v`fra4N%;~>aM zJr1qUZ}HhYIXaz|+H%ZhqI6c)W_crMa8nLfFPx8>jOb=rL9kTi?mh+r4@O(qJLT2 z;%#sBmbTVvZ7bdnwY17#+G4APo&BG4W){%f-g}2nl9Mx;e6qjy_xJmq@cRRphT`l5 z*2O8+vY7x%0t_Z_&WTQ_L86U8qK!d9Zd@972uK@QGRcPVB)d(!y1@gii(_Pu(85sm z?}RDmRIW0iVv%sn?H5wMbj%%@55j)5i+bYof$R;i+wl|Z;65LO9VDoI$CB$G+Ps^qrP(dWTN0$wni2(OUN z;L`fBF~qKc6WyemAbfy4Iy%&dYe%LM1=1Kg2q!+EgpabIacoq0P+Y2F4JdjNB)zQS zYEO|=yCxOsx?wxVK&(q>1a^Vum6;NoO1WI(rJt+4A?O|N-Llk}(xb0B{k~yjm0&p8 zXE1(u#$RmHueHFj095_Tk{C+b}xd7_RWR!3+x-I{IDBUT7e{jprF;a^Y{&V0g^Xg3yn1$H}_r@lUh=sTv0>MEK zW*K5)9wri=dW%faf1Y2O;2-)PJob;z&2y$wATxd1Kb$rMWLn1#8hvTWX^SJFp#S1b zgr{G7BVyY7bC%c2^Da!)=3lRdL@AYORc@n~)yK6r7WM0xU$c<6c?x-(5*RT1Y|M;2 z3}wPP6eJ-8m3Fgzar+v6P#O%aY2G4j3GJ44hwQn+YWqezEw$&vz3qeT_cGsOje3Aa9iI{7N67fHKiT?q6Q3N>0oZ7mzB`8T6tGCcDU9*C0Jm$cZj}aor zSWM)Y=JE83TSVv<=ZO&8&JE(Y$cPN)hKLO4v3TEk`%iZhiHU z`Pc1v;HMX^UEbmK$~1CuecLZt_1gD7{6TH>fpiMoeO*;er%S1uYgbR{Jo6v_*!$fd z-f+3p)? z7f*YEMtG+YebGk7kDEkcG;*O9?hz1@jH0atZ3VRDDF@nH(N;xUH^P|q$y73#pA7R6 z8>FfQf-GM{fbxS6$PN^+OB#JGi;us{jyfe2&%=TV1gh=A- zs;0%|h^m$1a$eP@$K@%i2II0#O?cz7tR|4-$f=2PT%N2Z5Csy+q&#KvWV_u4^SN9k zBJ-kGgQf=F(SV{E+Mu>wJE9%cSZxF}cHc;@I#TA2xEPETp&-qPX{ENz={^>3x@ zMZ&2e+$Jil7$U}iG>kS-8v0i^1rt$eJ!gdh*E!uLVu0k}v&ygjdvnnE33wc?Ms^@; z7;qXf-pCNN7{=I(#%1Zy*k(~no6M?>g(-9F-Aopu<$I`lC2nsz*&OC6=N`P`@b<2N`N!3PCJ2As$Ofh`~P%Y1To0m_N$X<2*3LJ8_mX4iBPEb=b$!6m`L;GK@A-J>as zC;=m7reK(_6Dmqb6p$i9AOrz4e5Mcf`hahQw(Sq;PHQwWGX78Ucca0neV872V5*oV z5zT5fc$2@6f0TcQw;bZ%;l~lxV1rD5HhqLl0YblU>KzpIA5Er4VC!D>=yx!ZJ2pUq zl7ST{dTh|>#EF3w)$V#7b|Q8Z!m`Z~c0{^>-6q>ZT@><=aC4u!_UEM;V|4}Zh?=O zd!74S2a5-%{>u65Hm7I@v}w>+lWwed>L15`1Jk z>G-Qt3KRpSQkzlg1CLPmJ0EO&pk!^-w$y@Ki*?7QPxDiL1G)@~&PaifEU^;bl$JAH z8Hmlt*kQ862zdozL}9F3HY==?lUeL#Rjm-0bye%`nJjl(7)G|ZiPw$C<&2u>Ds{?T zfTA>)(}%!F4@Kd`RG(4u8Agc$&QgzMxm7l2B>I3^X!n z^-?7sSm!OTejh-@klpU1!l-uC)-A$&bBso@u%uUucO-zEz-U zirm~52Y+puwRCP^KRj7;uj@y_enyhgCGfM_oKHoAnpC&!=91dg-eo|zLXQO#D7p+( zXZW5+TGxc)`R9<e4@QFbcND-NKIfUlZG*OK70RGYil+V;@dz-IfHaTPZW$bbKQaq}e+780RvQr&8=18c zQL$}PuO2uTeAlhjsZ*p43+c+S0c@p6$I(eq;~x2hKnT_shxRHU3vPw;{24K zK-lY+U9Jd@w%f1y?_XL9ZslrQQXR@l`X&rtQ=r*gduG>ValbFWs&0$ezapv_;3wvB zw**`iA9RJBy1U8U%ovE^;(=^?PS@KfnG(sA&<#@2nOja)r8KvsLo(TDu>EOwQXM8QtCEpwX`<0(%4LGlI}Dfp&l}J zQcoD$+jh6T8vKbg+V@V46X^i zA{{o~klrx&nSC-G{co|jaK1;JrD1$>kgqCiNJu=$u`6nush zGzwAy6wtE=!=X?RT6vzL3?rlS#uX?w2o>_0qNv-|-75CQM^#qcX10Mg0P!uHf?IKW za7M9}IBCR7964Qt(J&q$uT!WYhBlPD9zfxO%h?tebd<uj=O3?6C>dkg!>j%hzsYEY7t(7=H2jIMj;JmK^J!9;Y zoa!}dx-mZ)@VVw(28O{0;20P#T%HU>QoV(-QRDJNVC*Ai)tS}nn?RMSi1Vlm#YNOYaXEFPc$@f5uoJuh_KQCS{{-TP5MbohPa$l*Vk&-b1RUS7 zHzrmgPMITXjv(am14yXMNCgk~G!8O390*lVjEaYEnr^Wo2E+;!{AdeR5cGL-kG+C! zbEJOwc)za#P0xhQKbv~SQbi5WNT^DmiYgPIl`@i&k-;E68A}X!6U$Qa%va>}JS13H zkh&&!MY}9>&v3MBLR3y~Vy2z>kF$dF%=8wom4XDzuOVyCWa?S7^&xQwx6`&$U~UC# zxsBj%j+x3kb&B@utX1m9Z@7XKEzr1m+@vk^>?r1MxLQ`CW)wy}-T19ouwLYz9cd(c zaa!>rVMX@u_59*QPj?%Taqo6G?M=HJo*X3uDF=KU8U-w9B-ore5Q1nY^8OqZWc)em zd}3w4j1(Ao7LP!wct43O1@e%_ZP6oS((nY}!R@u%YVX&M*KYsqp?@6s!ltj@y!X&2 zo4$ZR@ABH~wV&3Og0F&ZF!j}EXK&n5d$G1}@7=Xj9{3t=N{h6lrz@a%jeA57(enctmk$(n%Iq-`uZ~wS;sHc2s zbm>F0?&vG_!gaM(!*S%FJK`(pWq34a&uvc}am}>ZzCFC)p*h}0R(~ntyiS!MH1{MW zOs*6m+C~kCccu>~4(I6E$tQDAiU;%8C21?LrqZdI)B>;^E>Erl>)n$%{n zQF%D`G8b_c+$@*cYu47cVxCE9|zxsPb8no9m>6Fe3%>0IYlY}!cf!^cUwF2 zoyK*^8w$2&9!4U-AD7*#Mx}I_Lio>xkRQ%kBuvYm%}tM!#C8xr zE+=rk++vR2LK;aac~_(~0={Ot+w^EO0^Kebf-k&CO5_XbCGzR>%9Q$y3g;nb4AuQY zJ22Y^+mE!5PvsJYB4~}FU0!P` zQi-IYv=sq0(Rz*W=1{#hMC^Ksw6LT2`{W*9MaS{Ej~Hq+Jh@+}7=jy_W>B}t{dfhM zssbWv|2I+OaJ&xM&URvQmZh}^YvrQij0utS+%geL5;TJUeDk&Y9(x){i#IPnGua!l z{^0PVw|8CxZ-W5TR)1PFt2I}gl*R!`>$m|-4i?`RLxGP6ip6j~HsB(oN$#YHubb}}pNE1fG{ ztKEaK!T4Zd(AdP^W#8!B=(@wbF}s7=Q4~aH(OGhqqs3?`TE->C9HYdPcvDlZI0a0B zRmKPzF(YoMlS`A!vz)V<`|R_bmkaZo=4YdE5QlOxUY6VYq`pvJxWDbn;+3T<%U8B9 zoaCbI_9m}gZc5md&aNh-b4VQWZc0APJzRLi*ijhOUv7RSJKA}&(|0l7Aydnt{0#UR zfM5e?R3uGjd24G#jxLYOvDm>Vo+*X4`kIl9Ib1%6!AGi&T>v8&faZaRxfIuBPUc zh|DWrV6n{C)5&Bv(N&2;)u925Q?IdK=e%CHCW~<@K(?J)QE%*a*d=#`G2E4+;U-~8 zKOlvL#^T!;Y;<4NmzQ{oF*woUolH-3BjMyT{d7tk*mdR7yR%dN^wK?ZK76sO6#rp3 z6y;K>@Phrz)_-kMXQuYuZ_GXVy=7}Vf??H$JaKm8_Nz8rGNm|Y{dG6rf63N&td?r5 z0Dk`UuPwe~VcT^ru^+Cyx9{t}EQjI+EcsKAPu@*@@?Xr(gIfV)y;hK=%%H0(y3y@=sb_FQ zGStm5ZzXolN=%xS*g2~f48*fBY#&|t7gS7$EsAZAF|mVCrvl?2n09Oh1H}FX(B1bc zO9twNyHf+$;Kh)EwU_Y?_SoGeMBwZ(p}SEC!knQ@dl_vLU%f|MEKdId;V1Qlu+%`k z9+0k=d(N{%BmuxByv(=VX}dRmecvGz2^Pj)#$n-Oi}cckTw1Wqt^J@c**WR-sfiGf zak#w8t^`vsRqf+PEzcsV=E3dHQw9omn+qj_bWn;G9RbeZdW};8IQ{$Rcj`RN-s`6B@iS;ehQ{^r?nYj zgTL&9IhU+`b`pd=KN_rD2K z8fP-^bbo#eFt68;A8O&%OYN3C`EgVEHDu#l1z`8rY&*ZtyP&u4LBQhE>bLz~#YS^;-4@&T<9U&tGA? z%GK|;m})Q8M_+EajI}6giRtjqpk}))DYl8zdEKuEEGWcO4Rx`4M71;$su9YiDz-p4 z&>WxxoD=_vvJ3ffj*q)=F10!!j2%9F7^etHCRH|llm*C?+b_s&7mqHE2V-)4WPGFP z32>~!a~z6>d?*^Quq+nfav+EoQpVjV%%UL2T2BW-@Q+5o3~ULU3^0M?hTrt}`gi+J z`Ynoov47A%>}UKV@cjdd`k;!t_>l1!2%Q=@Hb6-Yq)S#jVBdj`5*hsa#=ZK|opT=& z(V&QW2%CJXO%f|^Q>-u;y$Thc_f}BY_~rp`#io1l?3>TJD-&p|AFuZDvm7E%0$?MQ zDdXk%$U6YgSnE@^e1BG|+*He?YD`85&7K0AujnSg8_0JS&PJE9>MOyv@qlYzAB5M3>~PIM60zLFBC^8TZ1WV+0+|<7m)s zEf+4d_A?8tPg>bDo8?>Vx9R&$PC)m2k;i59-)~#sSP))d z^tIl=F5#Bhmxh);38hL$Wjk2n6Cvz{qIdXU5ZevsH*SSaR z-|&7zdL;a?e82uc;eKNW|CIG9`%~c^^3KRp(I*RgxxM^zwvq5Y<9`^R@SoVvL_bk3 zTw1u+SlYVD%5=!fV$0)S$T8P)*YZoP^c?Hl_$+-+f$5hoFI-~Ky<9K9&`vWPWkX?B zBv5FMG{sxFihZJt5T(SfPT8bj~Olt;4NbTIqSMi&_`A4w93jttVUg*5mvit(V&6{bBn-s8C_> zPt$HXdL2g`wByOnt`T_S-nydU#YG|Em?VsyA|NF@_TE`s(#R@KtTiHJ?c$PXuTqT?!9YLoYYla5 zXk^_z5S8?1aB+YX2+mD5^fXcC)|W4c`LeaU^x98ruO(|Y=N!K2UEuFhxuXTx-_;d_ z;=G}dw+RZ#j#3UV0JcN}>B&fg)1|~6r(dA2IrA;%x-SLOsZ`3)5?>nQ;KrdV+R|R9 z$g^mwskmV*4&VQx5!87X(P}rs)6XESuF@?HkK$oCNk%%okL{eKr?7GhX^%?fnRKWF zreTO@d8&#&q*WZd8R5)X1sB$OfHP!GcY-z4t*S+g<7EIYccmcsDngH$HGAkDEExC2 z6Q$ME8qaOoVD`4$R_&3*6W|G9r@B+ynRp_%v+zRVh13h_gB=H|KNb$lhm{{!MtgoG z{!018ezGSb76e7qlw?-V7YdUFLo}2wwLN2GXHp2J_b5F^&ygPHl^j@^`(okF?4|-U zHQVp#S7~b^ln6|z_RI-S&9I^`50d$7)yLJx^GrhnH749+HhI!{=%Lg+Bd0Ja#OuBs;GZe2P-Ys~(CWJD^oMI&cqEI22-83%|4q zs#yvkh^YVrTnQF~L9iQ)f}`Lhuz?ZyPt!e3xlCE2&`KMnZP%bS0)KeG?0IlPu}%#j zD1==zyPFT#Ns$2JthHUNO#OgU!swvX583)*%BS7y z*-t$sO#TWA?IybEC)JnxWN5&i*KXfF34rrFvDaI_sy>4u0X+>Q)~;GOcCa(zm#v)f zUhP;;Y@b_;7gJLPX92VJ(ajHE18?c=GLHPE+3RrUXMuMs$@Ybp!VhZ~?^}d?G_YG! z-eAx(6I@xlzcb@kn(0)^B7_%Q0qzGIAH4>h(fLSfX6+}SwO#l71-}QNDR=PV8?fGc zk>2klxZ+p)EEEt)gubI%HjS&Kt3tg7(-K@4T$^5-xhJ?O!-gy&7E*?v^XrPyYgjB6 z^jGM9$f%S8lANAN>Z!b8%mAiw30S}_j4jZ6ja%4TxLfpFng@+xFwEY;-JuUR4;zm* zKL#Fy+l?PZe-%AyD0i|Oxs5svI4FZUAdIIKIZo+$nW{s>m=u*`$+Q#>tzvLr8N!DbXG0?@Ii!8-|yumi`1_<#la zSx04JAs`M3iFZL>=(BaM^uO>u2&xsN<^|UDh5WdQXMDJSlrU8;=zX(P32voi|Kwiai5$WF@ z>lWT?yuzsdDua4Kt3GpH8X$`U_Y_g#vCKWq4nED+9!W886#8!immx~-sNDz`TW+E_>dU5N z;+HU4X~vSKp}?_eq`%f+Fihjn%PiCN2#m%tbYfB&*MtV?VVW7Hx6p7q4d{&)b~gaM za503)om)ph>t6L2^%sQI%Xzrz4Lvb=L)Zij>W$0wH#n&t)sHr~xHb!n)P4`N+Kn8T z_X&QB&Yao}kS5Q0hnY03+pTc16`&_&xip{z3zLSlSVbH{j|%|Y&06XYiw|ZDJ*-8s z3|fXQ%&=vP1#Y(h%SMBGhJqA&&KE%|rBZ!l(I|PBS^Y7|TOIL}4xIO>jis3bUi6q{ zw9P@!ISW1K?=3fdT${%(p}_cuwHb_9+lv2hp64luU&TbZX{y}x&B z6z@IgE62$A>t+mJBp{JliCiHGsTkMY!cc`1)e|TN9uO;}1M{`Q7-r_{+1Ee; zoeK^+&Wi~1X zI)&pH`lHDYmq}@rACT%Vx(56i& zp%MDPQSdYgg50DLs8~=M^$`S-)+3-FKIb%)7E%<6SgWX!>fvzIa}bVSKNTU`<3TX=7ymTwRRw4ve-G9YS@YfEnBTy zD}!x49a}f-v|el7Z@$}dx7D%TcbAWrYP)Onl&OU(cNMbv@;9*A!c#m}=H@D&u~vB! zzwD?8*e0OCFqq;QnKU=?2EI##aBkLG9T9hndqt)w?h|S84lMrRiA!B-7mXi#8cNUP zEBJd`WcpO6!CF|#qx@8oYO(Qrxs1Q~_wg^ljC+doDp}Ja=9InEsPrCoyKaIYB!Z-R4H3sVIhp{ z4S3VRc7-Vt_W?(=?&-?qV0snSI9T(bnOO=@Tg^rAjzST!)`Xw501!(c%`^6Dn`{U4 z1R6*Nq;JKjOSV@1_!S0f4_d1h{8P4Npta!Ef?G3g&1(_%`rib8&Sbq-2>>W9RKt4x z>*v+_eO@vr0%l2gwZIR+DN<*E?*DY6b;Iu4qS+t5y>nYFnWBrSWO3opt(SC$T^7GX z;Jsbb*EDsY2OCFvceD@P{b6U|&JXo8_1?TAap0PUhQ^LUb2-0bJ}Yff_OHHlSEq-w zb+tdx`#Ch(6=vSaIgTpZ1nJyNYW%k9$cot z=sb`a43Q4-ISw$%;Tj(NwYOJL31QNQF~3%0u+Q#UsINZ zQ`3A`md+ zFF|iv|GZ^wI&?_AMM$B?*k{<|-0vi;ZF+2zt#ax{<|e}@n0pLQFkd%u{T%8rdD6CS zchu7>`gzI_@=-zzt=&nJ1d@CT%k(2g#`qTR!{R%^^MY;EHf@`?8Rqb2!NyQF!6w^E zxVvOKZR2d1`=9Nq*rt*%4(jvLAm>-h4LmnFt3_^RYfgWa)JP+&kqO9*l}pJ?R7OFI z7^VWEl@FWno@9v0C}0hRsR$dA^@0hyfB54c#{^Gu`)4O6(1kiKn6|XgbvoJNbowrY z4_VZ?|KU&n^dIi~`sm|39I_bB*^xWn^5N>PFMVlGrIMlFJ@KDEdFS(U9UaW^N45lo z`1HBVxqokN`Th$F&xbr%NA_VF8o=5khW>QaWI%QOK?g6I6h?Fa>q|P!+*E8jMuU@< zB=W~1SmGaZd+0IT`N1=wQ6fzY7V%hoE0eX8D}+#%VaE1TE;1+WhFrc(#o^cU+jbi1 zuzR~MpP!}q%G zch5WL#V62X^pW_}=-cRf-1h=+n$CoOC%=P4mL72JbloT2C(p&t#yO{qzIpx)O2#h< z3k)hkfdDRHx|oX1#b_!f#AK4*Jsq20msxf;W{X`DdEJ2=-}5ESTm&pKPZb)q-Bra8 zX^s6z;?W3ykf-^gKynbLsA+1RTA-GwHz+d<(A1MR1n&ycqd{~ih=PlVS6ydWM6m*^ zAwVPB)6jE*{)`qDgn5pWvoq&rCeO?evQm`V%E}B8-Dg}ni&?fsu8!OgVLlf@Pzet6 zMtgfZYA2;OU_uD-3t`+Rf+zFrGw!NU5b9~9^13uq1n$}y1hE6UQl?s(>%~*_Qiep3 zVcEtEB!70-BfmxH*ggN$)YutyTI2DJdp2D9Ct_A(bfi;}Gj1E+?kBS?)*Md*lR#h0=Ahz#=?Kw#lJ^gt^c91gst z;T>?0WXUn);n*>jEf$DWTUIpT2GdOx5ErjaPA=DAt}+&Im^V&P#q&!~_xG2Jz#^NJ zLV2oqyWw`@y@t8sk>XO3Q;Ty&nkxEoUS-TUX4J6zX7^-cY-^Wv!+b$G~extx_iBmw68lH zjr2Ay-S49Q;s5a^A}HT`?aTdPXME-*^t0aS z>d4=H{|EnyDH`fsZDSTF4;5iPrc-prkEnZI*Hy5i$F!{Yf@$r-rwgJ*#o3{6%m5e$H! z$s98XKu!{}#_G7ZymUbsN4!vaWr1Sq8Pen?v`^G#wI+as1y1G`IELb;I4C4%;67{k z2g6YV10TiVM*~hw0Xcg-QWQTYbn(+-vWKU|jok-BcDoe4ScNOgr$LKPo}8Rinzi~u znCwaL#kE_U3`|i|o>v)TKn`P=7Owi#a8)8D1bPO_CJ9u8L`W=CCd1oug>r}un8)2$ z`gZ#-7k33Y#F$ymY~qdHt?U8%Q|vwby~6&;SLm;c$K9{cKX?2>c!&Nk#_gKorcBfL z=?<8`&3)f-mcyEkv)xTIX5bSR^T|M~xsUEQk4W3;?dGfKS^9wcK;R+wW9G*!i>Bk| z16r&`u6z_`WW9k9?u+1S(-P| zCDTFE>n27psV4j+rUla*Cf4+%-D{u@09`SSs;gv&+8zvL7woc~IcrCDxDzw}F6}+h z9v!)06JYq<48WEdnEJ4SaXu+`Gz%o9%sTN;gt7KsFUHzn%tEHh%q%gqsrGi5Z_qP- zjHM7w&rA^GfOsQkiC>7rpJt6$c{N|P;TJ4Na5Mu>A!tBG91UrEA?-bV&!X*Fv^_J~ zQ|;!eR|r%Cva@QFNeV1dE<))O6BBOMf1$`XO=N-3n~bHj{F7gxJ$vrCe1Bf@{@@Fb zzxAKbJbdchJ?LvjA#io;w!7%gAARH_SKsV8@E-{M{4K=&@X3zxM7#QNjE6=jin-PJ zDN3PDI(H}YL|^4qP&;{ISBDfN*jZ$PH@ zM*S4!$T}8L=%|Z@N;fM@!cuK{MOe|4(h|Vwlfo(ZcaqE@(;4{$!3KZ)^0Jm?8&WskCqLwUD8=+L zz5JHIer7*!eAs}B`F$~1YW9%nkoi&JQRhP5EU;Kk?au91=&;FtENc2}13DJv78#Q& z#iNI!FGT66Gm-QoWfU8~rCiqKWKEn!zy!XCE_?bQHh355caNf+vWNuLmdPTQ!zp~$ z;Xnx>)~BbY%B1b+(Aru}YZFbRRej-DdESnI#CO}L?MwF4cGezfe2!rmPEV}Th;n!Z z{3QUYyKwXC$uqOWH`>*8Znmp-4qLWGJrmWHOnZE(q&JoHWx`b2lL(`~$pyq9X`R~= z(kbGV7Fbh2udM`23;ad%)enw-brnxCYT4+ zJ6*of2U8EVGE`pJMc zAVdXvTu^Gr2Gh38Znwv5noA;A68u9htcQ|hK?1%8t!i~yYlo6Yk}o8gF1CZpAy5zs^a_r8h~oc11cUGXav?7AQKajNpJev)3EAIT@nBXCuUlL!;c5R{o zW~A*&DT6YE2K~YE!3-M7OlOudr!$6(9gfV@z52NGd({O3BXgs?tF%kqbr^r=#$9k~ z*vgl8*$+O@hx&*Yr>{x&A%}0;_c9jti|7BSI!SgI55T}j?$NhMKd-tUs-ap_i5X?+ zQ3gR`Jq>Qvrvs5PY4KGV_;`TH(0LY~k@?`|yPiWgW4q4s)By;vk*p+aRm`3vofT#F zjG)YDvojT~l+KKBhD>K)5mt1iaPHTjB5DGZ1%yfxvjQBC55`*J*vqlkV>H$iv+t}x z(g=(sUr*wZ*?J5@4?$cgP=`70*1??}n-i6A#4jRaD%srB(o}9@*zVLws*ud3b|kll zQMfZ2rUom+GPMcSWNL%47N$n?!(r+&WxI@e#lA2aOYaP$9Xlf(A$)kKlNxFokkQ~k zrB$VSpf2e~LsuAGQoJ-wZOdLNQ=9!gVXcN_J?l~5T)fg>jue*!<|mWuW{7H2Ed>G7 zXhm>=uj%YlEU*a_@&8dGFi%2d&Nux4W!Vk#}HEZ+Ud+ zs?9B{e=e3f_B?;=>n-Jn{{vl;{oKSSPpU@N>6L#%Q)pUp8~~ zXLmNYiehq;`D&?2ieE|JbJMMl?%Xtc>!Hgxz5nr+@nk8nao?7*&u73&pR!@$^k3MT zYo!nBx-rtO0^ zFQ0F3)3r8H+IoK(Ko@=r%{xY-3*aR$wyU{{rF{zHW=GPInrokLH!QR-wV!Th6c&xP zPqk0OklK!9lbDS<7a51@Y{+M$>464IHYyCnW7%kGk+G|VcqLuvE=Mc9NKUs>!i- zPqjUE&}RV_mmOGFw{5! zKZdhan-Q+h!PIm$$-dXJk%?&t^JKNEHM+%!hWFmteaUplZMT%v)s0@Y*}_P@rKS%J zc&mM@9UI~v(IExBMLTjC?>~3dt($jTp+33#&7HCsP9)MP;S$vQz?H@F$ZGh?f|N+O zE$us)4Vv``k(n;M;V{2gsRmk)%$%SSSP?`>i4hwicUz2jGGb)%NX#uVW~@PoEH}fH zIT8#8D^L#)|M(d=+H4c+5+8SdbxdcsH|p&6>T$wtGPpzhBeA`)eOL`Q?8Q7h#Uhp< zSz@)r1=t4GjnUw%Sg0>g3a?G-9uh4Qvy52+%S;6p5?JE|n@o5hMz(OBV}pZwr@LG0 zsDZXNc1#6V*I|~152YwM*1);p@!nO#aHiRuh}#H1*k~Yo8{r3dGL0WZV0^+qc;s1) zg%a^~JfPW__}*Vzt}Sc93Y`@K^9eMSm`=OT zt&Jy1s}=&mGG-9>K!YtCbz!zh2fF2Gtd|e)?s@zuRf=lhIk(F)Z${=S332w2NT=$k zRha8|-WISWL{+JZByQB&QJxpksEDS-X>ndWES?pO;?elguMl=1OSb?IVAZ>#!Bnhy zp)S+ff*VLr_n6V#!s|=YuF-XC>)Ik5n69(A&dyw}tMiUPQ}=35Pa$OHqQP*+jy%Tu z;f=0bu5&eZPTo<)gdFS|Lm&8jqa1J~rYZXT)vJBzpz$E4+AKP$%Xw?YO^hJ71i#-q z$3Q@nj!vF$)XDQ_s#~K^jkYZ?Y{S<3Dmevr-X^Eu&cBmWBsj$kr$`i=O#{9185Nv) znXE7LV}UBJEQ8lhcy(DPOGT*@rg93~F3&s?L~H;l@J-j+DmLXP7JsTTs>~~2vwtme zSYc(nGp8^D9yzTrK~p9zcc-J7-T*ujJLV3WbAgbYtnD5&cT|-XAA6d=oq25mDvd>x2CUN;8OI(ShU(1;i%ma zNroL#7)9+NKsl&xzmQe2{+3|Yg|K`re?vDJrK?N9B%=LX?xD3_O;5ZOjWg{U|}A{sUyIrPn*P zxrSP*J#PaF@WB>igWnPwfsU$ZAfYu~kdO`!NX?cQO8`TG=>LKbh^tV?357aND1an| z3lyNF3z<4x5Rg+cq%8hmGDAKHAp18kT1Zh9Ona_Yf)-las8oRGwKy5`t7pu-jgU9< ztEVh1S@c8ELBu&_X=&*~Kup)ur?Aw7G|#4zo~Z>g>4c*yRcRM1ApHMx=FeN^t@HdB z9S=Ld=z3T>RDIfFsRpXS-NJ6?Zs~equXC^TMcVvUbVZ`)%pbR(Voo{Uq~CO`IRD@> z)toi4Cbi48YM*1)a-+jkq;rCtlvBlOJ8Bm=uP}x#6Sm8SxUduLbo^TQlVIHH+# z0X(ye70_D1533t>OmHCD>2kY;fE0`d3K*)S8!WUrY5}B@j<=?Z-IZu-FIA+hSnecb z$s;3LmM}IfA=-lw4Mim+H!`GYheZ%XOB+S`7t!15kjVeYYPGPK@&f_UVlDA=Jbji& zr};N{dYWH?n2z6nNJL^#s-h}}HdLZmqzXb|flQn=juy~dVZJ~YrrN8E=;o(mPyD@> zv@#2`K`^?!M3{xD1K>fMoLN`136|IB3cw?SQG^Lc=;|VgjrMx|0lT)=Mpe``z`FFT zd*Hp397pPk%+5f_XI4)Pre-K@KDvO}#)It@DT6I9{EDcU@G=gPoOsk)wL;^pI<&^D zHIU%&l(UM~B^PSx!%nbSAS0Nh4|1HF*fcHm+P$d022^v~1+)c%WaP~O-V{rr`!D-& z_gimW)lf%hLYdXy1Pa5eg}%7g>afc}Z_bGXkx_uphZIAi|2oNoJ7Zs&tu_Wg38sD8)}NV*W%(Z zyX7^ddCh&NVUm;J!CPlFq}>E1c}TDb!r~Og>7F~yXGfF>+>{1-cujbbjs|*o-tW5z z_}56x2xQ8$^Zq6OSwG_^&a%ETv}#ASvyA*lZF^cr{YdqX`ltNU{(1jld?3eXquf9P z%0}6AydEgWx5Tj)3MFj3ei<#@rP5iR=g}ySrub=oo7ES?PUI0 z*CPH2Vr@&l{@im!bLvaObaT0FE89z-5LMa=JoJSK3I96%4eIl7VfI z8%5^-wT0orZNvzML)&QbJ}Il!<|Nl}l5032p+&ofT+-v8FKXA2b0jvpc>Wiv6%LhY z{r&ebjY7QC7brGw-U5J1?%zJ9!ZF1$G%~h#Y~L6&wu9|&5|fQquB*|gWp)%nqfJg? zV0CT@{^|;C4RKx6)kz)vDJKPmw5N!bu;#Q@yYS`l)vcV7+dj5~6Px;-gyNkt382af zF@hB`rnGgFy>7DCJ%pd*ch71e)$ulPBfxN*=1(AosNDhSh2|W1_fZ|BjvT!z3Gdi6BvC8&P1#vqiJ~o7B@o`)zOwMD2et`8=7ugXrtR;%s0HVJ25mQ4UZ1fbHnq) zG&L*?)5DOS?(vm}r^Y81>C3Us+9xieJ^KkHUCU{C2ki1QTGLfeyM(_Q`9Dk)SUtm- zTC>>eHhV*Yci58gRDzF%k=@Z?Pp-GwXJKX;ajHXRI+*PL55ry8CB&=FasCT(WNnyR zXVG7TU|Z1W9#{VFT6Wy-z4rdWtury7&C@1E-tblOyG!Ywo?A@I@>Td?Wm}^ zim3wpU#g5&rYh5w`HC@bKq~3a;k|_lyHGh@p%*G>3J)w*n25=jjXE^PTQ(a_3^bUs zQTsqVl8weS$6Hf6*IkM>^@gcrAb*OGiLbgR9SPrDgS-vn@bEZtRWd-{9$BdMPsne z##-6?NirpX_}>1%o;N6Ozi#jX2xvirU;gai^|H@yZQ8Wj=~i1ThVJ2y-ek1{BYOIp z98#SRS6&?4(RKUkEjy(E@wquh&__QubLVPg(ig#;*1rdBe|$@jcrLKeKEs^AeCD7c zG_P}77{fpcZYAI}**Wp5Pg&E$5 zoBB~yS-Rzq4qOba%@qHJ) zWIh@BxtVqS#)7t(H~V&a_oGjl4>*1v;v}`XVj#&8ha_~$`%;irCA8I4zZ(}>gh9dh ze*}}F0iA~JsA0-5ZJ0MK7+AyGumDm`O8L0cLOmWzjC$$G$rrcU2+ z-Aym=d->LDKlY<-mFqSgy7L2fe6XK6^5{KBZhL?3@%#Sqj=y}gyY}eq-(UUZ;qScj zsVT_4{mbeA^BktNG*zV=bXv=Hl6?DSOAZn1YTEt7P68DblCyhh}% zyq1M4Ga1EYu(QGEv?X&?D~2D1q`h^5<%oaGOc5zeAxxcE!eZpMLImzbX}L?nDJ)ov z7iG7dpqkIWe;f$0*#b04Qq(M#&JKKcgl^qLM!IE92N3Vo@6`|iYcf76!`jmn3fS?@ zSmAEq21t&sph-rwmi=>DPybPXs_(FL0<~6!t->zhfYb1aM%39@>l|#{)%YRjhZ=7% z-Qv8Z@ov-O+?%Gqm~Exb@s^45^<{(FiHasBlXbZiyBk3sBOS_Z| zV<-r%2=0XD;GP1ay}3!U%v z2@*%dB*-LNylV@&5;{!R0ZfZ;T};BL2~3zCr2`!r1ylV8NAA61=9AM;4zy;P{nf!$ zInb7NdxdyZOro;c{^4zVHePy#I$kO!nCk4Sw|wCGyI)!P;y$kHoW zTs2V=?fX`r+#By0zvP+|Kb^TmbU~b=cXhx(VOow*3VKDSljNlMEIDrX{fy#9x`NjC0W;&|^7hxSyS3D`Q*$J1GvukvNNv5{mcwQnD zs}apoCvheDJj*@5hel!WFHJ%#4b5yT)9vG?CfH3{BPFa3h|W$z!njF)5rczYV_ zxsy;A=$tY+s}=Y!5(9gsb`I##Rj-#UCNge+!k?0{e zO&|Ws4V_moN8Y<;yg8gq3f3xyzSocZ@rQ4sq%4OM=Zff`u)hA*w@)m!P+G*8eilZ%UqEE> zof1LmBo-{(<6nuOl)xhZ^a>r4ZzV~&>^cvpaFXO(O|D_ckh&L}Z;ocV*`d;^<4yyo z=FsjOl0eE4d+`(TbXx9CMbo{M#hP<^WC0mOSem>l@Q9ya7>dId;cgbGEMf~%4&^9k zLXspI&B^mJP00eb5SQfBvQeJOKCw0fNVD-~&&v;}#YBR~FePh+}u zvnPAy>eit&25$);v8S#+ydew#4%5q?^JnOP!SvE(DC_i+ZXxv2q5?Xj5s8%|5-UZH zP|%d-VLTmk)Wwej6lpW53*E$-(vFzHr5MpIMs&Rq8IwhXP>u_HG>Wc{qG(bMqN(6? zkPf=6RPE&CB*s8R+~Q^uQnP_XFz#D^Wm$Md)1+(E(HwK6O@^E=>M9uNTob2VCg2)0 zqU(&e8EIoO$Mr_hp6ExSbTsL*BDljpszIO@hohxAXtEP4ChdYIoo;E?HRegJJqdZU zlmCakF9DCEIMc4`o};IGj-GpZMl)(jb81F2@{C6E$g(vC`NXn~kJknV<$kCD-k(?gu`GggdGx-C<&VY@xLK#E&`j!A&|9*!#adbko135-6P4w zB>DIG|L57~`K{7?^>%gDS4Y?JRd>}_m|k*Hz$CeVFI`mr|2GD>axM9az=Q+<(P%FjN;n76!A*QQVw&8;RxPB zw^6fXPc;)cg=Lh+^5fSO8wb(IMkhxTWEJdG8E)N}OMzVZ#1sZdmPDPqNRLXTD#K@~ zY6Gd1hk07iBsfeap{TfRqoTR3wQz~!fTj)u6a?@N-EtRw|2DoxapsR)Tdg zt^|<-0FgebEy2Z(oy@(6mV!U)-x1%j{yC~?-G~cRJ==!7G>nItVRl%!$8^kj%zMmt ztmN+Uf36s=GE!9ryXel44S69|kqUkyac}V6gtm1f5t^-0S;`rrQV!?&90Na}vLM$> zzvJ_{BseAL&yX{U0b8S4CWLG%_T{K0<$}tx<_g6!5@kX5Ttd~R^Mp;|WwAjNHi*KO zOUO17=0e_L0dJNZE1Do46Jc;6Z!OWQvEgJ%X?ddi$`Q(0Nb=WbZEzJ2nSRaN(XxVAZC z6>UcLvB~Fdzj1lh+-NMhWa}69-yPt+c*$*_yf(M!`eXIE^}FtJTg)El!yHq;XBsrm zA|IY8t|0d3ZP17L=_ho-NMFDhop!9XQ)s6HnZ3AL%3l1gZYO1-s2&rzgvI61kfp;2 z>tH0CIQv3sd`um~UMcRvo9Uk}{=iGBeK79VzYJMc0G zrqSi0Dni_X4{Pb+;WL6V*0 zYjT^|J0^ZSOm{e>~Ieq|jKB46yFj*deem6>OYTGO}jNX@)co8#dPKF(k$FN1J`gTDNA*mYJq|Fhb3 z40VC_EmI&9J}<;){*|T1saIYQ>8`rG!FCtsDKMhzxEdSAGEhxkCq$ixf;I4lkR*4dVN z7`w+2up^%wKsMeQfL3rYpD=hO*&|8SiZ&9T*Bdl&fH=KQtI=>ElG$w_HX)r?5|?ltVn<1o$$O)RM~hgddG=f z@QhC@vJuBg?|SI(&}FD7NZVDE2x!$;bQqrGWtXWDI8TqE;HaT~U`*p{PrunhlB4G%J3rBocG}_+>XIl-J;RjiaJu@^ozS zX;-w=nr80^h2@IsNgZRF>o*%LLMWuM1{S~f7OSmIi3X0$ji=6OpM=(uU|&#MOSmjx zH8TnFlFy6`VGpN?hDvo79jWGxjj5EPSQKhQC{oQC92{o==|pk{`H+L>Llwbb zwMTMzJW?!NA;DxF+8+wP+y zg68deO16Zs2oGJ|EfPA7MHEI(XyL{@pK1%^e0YeQafr`9Y1ki0ai^e}b0ALfGK%7% z=mm1-C5-(LGuH@riRV>Di^W zZE*5}1KjqU^MWWve#Jw_y~@fcB4QPlk|=mwnP*LFG$m`LxMFv}&35^_^(qprii&D0 zsws)QBg6DWrjucEv=JI49o5f3B770VWhOb-(u?%(986SY}zqM75+M#Qp|) zn7QoRs?2B%^PZZprV0V86w#|#Wfz&bWQilH3RsnnCY4e&DNd+uU(u#`$hL^bM@>h~ zN3Dm#hiYCHUUvU1^0SP=k__`9A!sb{gTm`+eMx=NvZ+>+Y}7W2jn=v0#%Qjlx_+6k zN?c`K99R}!5xu4+U%yUT7g}9Es6QYa5D!=nxDL4Q)DMfp)(1SN!vV9_B3i7LM5$P6 zEltGum^)R^i}h;_n`&3r7uO;NA>DiD;<+RvH>GeY8Lsj08k8h?2qgXfTrydoD|Tq9 zl&T?;^k`=kO7irDFtkm#%N41q$?}5HnDLOK%A{~jwkDGe*^atWR&33JSn4wR_e-k- zIFJhURUD{bDvnm*3MrIK<}y`p$6}GpYAEgfS)A2s^&v^G4`xG-Y&K+cMIzN1qa%|s z!jtzHjP6V%BnfjwH8NPvSDAO@+Q?INhdb8%jjRk9$RjI%5z>k*GkIx z94%;aMp`Sli1bptf+~rC2sH6gtVfj|+LbGgr$wbJ1!?E(Sj<=OSw_ftf28 z%Uj{+%%#Z>Vs?|*;b)t*DDyA>-v34fUvh(XL&X;%%7JgH{Gzu{uh;~+CZeCEDYCAP z$T>dzSgfoCv(%j_gD#@NdczaV-)#Q(ZzVN0qk1oGb^4)O@{|`Im>k_ZhYbcDX1BX_Z0ti;PlO2uwGRKDsrP#7$cmWB#q34s znbOnWPC+`2SF-5l2#)w+#z5cmI!MQ4rI09g9$_eC2uxF$9xYBL;^Xo2U>Z{|v=+N8 zwWkOe;>ho?GW*gvjcm|8RO};hSR9TFLN%Fbq34y>F_;(9zCn3Ke_Xt#Wy3SbH}x)( zrY;~abo9KaE&=X z(U@QoQl{o1vTTehy(=`IYu$Bj;$kb&5-_1I6>FY27gvv_EuLe+%^JCh{z>b!0?NNO zIOAQUYlwf4C{AT@-K@-9)mRbiQ31mowuT{ zx-1vv151JnC!eyENuoOgbvWXWESgN?KgFUpgJ1$--BV_6e6RP`!%d0GjLWiM<1llg zG+AL3jmpV|Y!B34Cw?fOvT+)ZW>_<98a6+q8P({A-Pq(FG|j19jW$?TJ6WH`ZMIvk z*Q~L;syS=XtF$Z8xct6>F3W5GtV+eBi~tkz;}waKUx z+bq~pjTzo@npuF%NW(11Cs>>uhHNEQn{c%$Z|XO(CU45!=w9V!-NvMlMGR&nm;3X| z5VG>(uI7tGDtgFU@f*zIZeNfz+)q}oW|oM@Qo2CKnsQM zSD8!C;Zs#zLanK&D|1rym$h~5X5qb`Fk2>HYHzUnG*KOkChoy2yRUJJ0+uF!6J#r; ziuCfy(0eacB;@UAY6>l%+^4l@cQ9cPpve-9U*KyILUZz7oDbpEp)Db%KQt6#P^db@ z+#fm~VnUA5sb7sOjbj|*Vq|tnSLdh2h3*lpT^eUtCipb-2vQPV$da)1EhG)Q zVOH46Cm2i>7ul^=-P$uLJOmP1U>?NFFo_u!t#L>!gL0ZJOhKDN0&=5eQ2C^mNJp(P zf53)qD1ShQbrMk@nm=oJ&A=FLwdC8JeNM*N;%V8Wyc=ttc&AnEY*MYwYG zlT+C&%;>5b8>_1F`N`*#jrl}XzEPXYSE+8QP1ZnM&2DF})9yenRE0hY%@dh59(TxB z8ZGCnLNs4K;>iRwiIYdanZhwC>lC^4JGdGR?* z3v0U{A@xWt1*-rdhC@U{opD#l&L1i%FIgB)- zmUHAyBZw^_MI@GrL*(E`TzOJk@0NTeU&ocG4E-yfuP9Azo+*dsi{r{zffV(%Se-M` zl`u0}@W>W={Pk@ipHZ;zHlH=RpfsLqx^cri?T+|@Y$PjNEP6vjRi-3dXt}99Pty7< zr1fo@)>V1GL4pxcD;gYV#FTf?RBG!j?o#&<*+YRVPl%UEV*P-X2HKfod z^7HTW-IshQHJW-Z^=3-9&phb6y`LR)UU3> zma5XKp(?hDR>%9H0e@RlZ*i77hn%dF(+95k6YbK!_4}QFndSMJMZ67<8fcIjTogeacidWl@~;1U(JbQ9!&S{O6hb>zvnT} z1rIBGR(qH{kS(5L9*sxcKkQNW4|~-8!!%S#^484kv{_!^jF=WW0?sCr-i7pZuZ;-@ z=_VVA>pW(7#XtDhA}?jR`=MD1)1Z@%m2m(Tkg{Rz+^ix*=K`4U<>evz3<=p z%RY9w4Zl#@b(&gcw{|76psVvHa)>KBX1bp!!Ze*?OOTc|Hk%lo@;*t%{Hux*M7))Z z-BhcPb|r*du7pHSf#g!2H?pWrY?Irn+ccYWqm%DJSQc-e9=3OpurO6(Zq_{lVG}g$ z&!(pE0CMIHIA%a3uJCkRR2~_c+(%9x1pl>)l!U$wamDjaT$;mjt~$r`=Z133vE2PR zhMbY`A{A0{(*ja~a_N}dM^*y?mvxF%1A_Owd<8~nG0n*7>NJy2uTC@l>7n$oGRb%l-P|)ctlS|2t1H6iB89#(kKb7} zN1pEx48{!YoZ`;!?LF%BWy>=rLo9J^;uzUbUQatHG*2~mVFxjcm#qPF6Z;e~8l5Ji zY17=LoumDt?i2cb9LxQS;UJF%L3q*VGVTZSqVYx3LDNC=_r=Xt$@;Ih)ArjP$DEJ2 z7P#KIE%=8Cyu{=YEq{=YEmZCA_{^FPoe)-PVa<%+ptu9z$4in(I0m@DRrxni!E zE9Q#%KQ^OR%oTIRTrvNX3?hFRA?8V-yYLXw;V@!RaOx<~x~ZQ7%~4uUX~Wc$$blR{ zgNOw`LGa@TnwxwVX#Lb?pbb+^KsQcx0o_FLW=coEXAD`uPYhWp4T7H(@Octw-4xM| zo1?Uzx;IQ^fNq9ZQV_NYWvI^#aPR}QQW^yJEQGxkXbyO0A?#704U}%4dKA?`sGkG1 z0M9xkg8w=QOR#_H9-sm6SqFSJ15Hz(O;fvoE~a!Tr7NaJfv%x=Eye37-azptiZ=tz z(YSKR0;Q3oapjPeVn4)~gSZF{QkN!3e-8K)x&qRlLu;t}T8cMNx*6`)Q=avZ{wAOz zq_!T?Pp}_KqaJt?8l*m(AQ$z(lh75A_j*V(!D}hrKB z2H;6(kh(X)Q)mF5gsy_rpM~KsQmmiQ>%^Z>IPpIte8<0;wf*9dH;y>w&`v)Q2vpxAn|7 z5IKj4{M|??OKWq0UJ=Y7Gq$OiMFsSliZ!$RXpsl6QLzq{*DH)jX_Xj4YaeS22V1+N_G9HEBy!teNFUi;UW}D%K&Zwq3<~G;i7u zhdf$V#Rhb>wxD7YZq}Xz&RD}jTt+=Zu@*fq;tqG3Ntv5)#uc=~7gDV>Fb)c<`HS5o{{imNCdC77F) zer{G=##!#hVqP9XGD<^<%t1APT2L421iKmapY!a zxb=X`UbT!0v{VV#1h}lD{s*YL41FKTA1UQtaFZcNIT*>(&=>YW?q!-b!e@{^NAgs< z)MvDfavY#fi(L299Pfm?17OJ0meCC~?11{b7C~>j0&0p9wlM2XKP|luh-E9~*iFl9 z4~=Up(96fGTob=rA-{t(7ai1ZAJ7hZzn?zAy)>1*^lm>b4~4^4m6wuxQjg`o$ax|NW&j!@XnrITvV+8K5cOI$f_XpL-?tzf9M>S!Fy z;Q{MBdlkesfbQzN%@b;`|sy?q0F`#a^U`wIPih4z8&zTTv~u%|~})4jcGV7I)c zb9ZOqrp}IJOZU#s-SW!LJ@T5qo$bAH_inje9w@YTbna{~?2!AmeK5bGWBvPitm)i7 z*wbF9U)NdK4Ibrea?Tt%+T6Xh(6_s9+dyo_JzY)1LQooV^>xiH(^0h$(o|^Q)7`sW zUbSso_ts9iO1^HOy|<@xFQll@y}PeBA+PJ+I?z{;SF{&8I(rA?Ik`-FW8a{>vwg2T zxVuvx=z<(=>w`P(yXF4Q!p`o2fzA&3hP`m7Q(m-o#X`7SpcnmxzK+4I19Eq-yr-*s zYuBu>V0ZU!?HTNVpaXq!NB8dj9*Cg5w*x|TgNLo)3!+cTaxwD0-k!a3v^yqu?!1A7 zn&H)3^nKYRQSTij&xOw20|m$(6xFQgA^0?}c{BylZir=|b0>K)g>Hzoqi;`dPhb1& zNFmYfN>V!uGUT@pq5?WN&_6gJcXZxF3K#rzb@ueXFGtWD_tAFQ4mGeBT0kFZmDmKe z?nbzNllI8P`^7%CL+Lx&d)UX>XV`DT?`ifF`|vDY#CP}f^}kczotMPbc?mblPZQA0 z(OjchqL~jg2fo{(rI0qLj0#=&IQ~3{d!%Pr2>uJuqIxM0MfOBfW#ISne=H(WmKEWt zDMY2WX6E%Yhyr&Ybd^@S0) zw;{~@6LU9WL3+9e@V(5vfbV1O1N>R$bAX4L3xI#mybJihSV#eDV?oYh?d)Q}OW11w zuV6m{_yBtVG3*d~5%3?__W)05c7tp)pcz1{W>B*i@IK8xz#rCp3h<{jw*$UIa|hr% zHFpC3j5dL=wo02utTv;~0It!_1Kgl%LRhy*2XX0E=$Zkq)U5}+LAL?$M%{-1Z`KU} z9@Gs2zDc(S@Xfkg5u-b(I|TT!?g-$I>%WAs{>%C=BUb+v{Yk(h+(N`~S8*Vbai8Vh z0*UK)+(p2DFaT%6M#CP&8g3Rqk`;Ktgjm5WL;=SHm_-UT!UKRG6pjOaTzD4nw}dgk z-w}QQ_<7+4#0W16ZvcK%I1ldQ!rOrVQ}_emcZ7EU|55lO;CF@p0{kZdo~#iWzXj6W zw~gNg{5|9E0l#hhPsA86nk)#LM3V=xCdsrBo}@;7o(w9ZC9IUKQmSfsLcZ2Oj#@Y< zD{cb^IpQ{PAfIyW+*Y95xPG8FaeIO8<8Fbw0E9q$zX!N~0Qynxqu}x}?qh)ekvj%`7xG1N z;RNb3xgalYlN+#y2`w*Y~s!^g_$# z5nj8dNk$GtR!%ce8iI;o4n)fa(UwByL8e*P80He@>1zzF3OKE3St=u!>VttVns$Pk2vC_PN+F-ku}=~pRzky{ z18V*+M;W3KYnF{6S7auq1zQj4B+=^_-4&~y69J!GPNyv+Qs08_8o>dB? z^5w%_&W+48G?&;G;Qv8(45Z!7(0}znk9G_CM|2yy1Ko$dgdRpuqOYSd^gMbA{Q{js z=g~#<9@bzZ_Tnh6#Z7nxZo!*z0e=R64u1tdibwD_@OSZx_+{YCA&fr`v15d-D;ZyY3FfUi1;gUc61Qmn>K8l@B5f6fRzs zMmi{V+^Ru3s6e=_M~S~ZOi}~(pOotx!m6ED?GeT9_#E}8**3E6``doG?QP|1`P5uEok#H`iU#UESTJguhXz+HWiNj_0W_uIDQ~r+Uuzyx#NPPTS7t z&IQ!f(i`lZ+qj%eiY}RdE|+6$mu(xLt;V(uaoM)oKAXj}X~(f` z2i~_&-CL9-b~3YO&ARKmXWw(r*=L`<_u2Q{T~!btmyUCB+_t!#ai`-(<1>Wu_=0#% ze0BW!gp!1+1Y3eH;cCKlWsXvZXg#Prr@V>dt}0)(PIVx0e&W)^;>51R&55TIM-wNK z79_cnhLdxXPbJ?=NlZyk$xK<8(vq?vWjN(*%7fIUsfJWzYB+T;^-SvHS@E-qW;M<7 z%^IFHk(QdaBCRZ~Hmy5tOWH2@Hf1F#CrKGe%10JY4J&>>^+UxUfj>?CP*4C#Q=bXR zQ^UgSsbT&%4u`Qa;&{Iod6trLl$4>Q{3K;3DK|-($vB>wq`V|$B~?5_Mmb5!NK!tM zvXPXFq)cQyze{;Y%0f~OGJ)qHDFaFQN6J1@?lGO`?kMv}xj@P~QqGYwj+AetY$N3w zDbq-KM#?f$j*&8qlwYLmBIOn-vzQj)1o=59l$24Vd?IBNDVIo@M9L#l7Ljs@ltHBY zq0|$-D0fJiL&_Uc){ydhlrf}yA!Q3GS4f#c$`ewSaCT&te9RZ6G0S*vFw*l)o)x5= zAY}w8A4u6i$^}v;P~e$B$^$0wJRoHODF;}^YpW^tOu2cMPrz7pUIyHWEAd|@~IU;utF zz~ZPDSM9iJN1TK|DDtKbDqf#DC?o($Q!fe0lRpz?0~u3R0eTg3rmXCnXjO$)&nxn$ z-c-CX)u>o9^@8F}(0`mfj8PcIJq!+2!Yp78q)dkgegnt^vVa$+jKYh+0^EBA*RNs* z3X%)4I*xnOOJ7Tp1Zs^IpP_{n{Yx>EQkI6kR`j((zG28W4Ecs3-!NKQ(Q+ST8Wx@h z<^eAOi-6Z)RVDcSoJO8GD!0~4(CA=Chau%z=#00U5ATCgg(spsQib`3Ur7#k`LQFXMVK z@G7ozA@_XACm%xsl2U*K8c3k|H(M%WYRR9qmH?d{ht7_(WbpQaw->y<;Ozw;FEslZ z+I!K;i}qf$^rDaKc^M?HBwN+LuNOhbpR?z{a|Ap`z;gsP>H^;p@E8G)!xcLaRM6Gp&i1oDos6mS^_mrLMs2^_}3VI2N)2`w)P3xP$reih|wQ{yZN zyvK#Pn0F@1tf}9E?>PAWO87SF76ZBPlH@P=r0k32ha|y5Zr5Sn@>0y`m(VhHb9_IYNucV-GTB$l-+2%7U-E8VsivFTCA8F5=wBVoO7E1w+V2&4Q@xk?bpIPsINy4 z1KO>eIwlx#-G=*XAx#=M_+vN>Nj;lmdi^bW4e(xTaqlBwJ$lZ^%9;nCo)t@g`7{v(MG}S7s2Hgg_9pxIJ1AV(7(OS^GDD8j)qdO4^ z6FAozHcxmLr3vNxj6D9kpvtFivlL06G}~HSs>Y26`NV)M{{80YoeSZ@>sOQ$G-DQNDwSR*(9XQ)?mDZLHAQQw&;X z*k@N`r(MksKo{!C$KcQo4o4(yvwg6VZ0XVT9lB6|N9koL>*JvxW>Bs2Ab%3cPZ`}zG z8-i@rT(%DG``B-Aopr$C3SljyPk%;u-+t_nh*D4O#|T}pxF39mFw!At`cRDbx5IvG zG2#o-od1?3qlbZeS;R;DT((-&zXK^&PW>qA`Q(#Bl1HuQR+MM)&Xk6)6KZKjT^slI zHkJ;}+=gfT9MbQEXB+}oYC~RT=3GC49>@5){s@5Q3pUg_u`XsyaqhO%;{kg7lt-v` z_-qGO(1)n&=4<9C;@<6;-na4QA;lr|9^m7(L4tP4yNSPmI5Cg>9D8e;M>C_gw|VV{ z@P6{;9?)`RQ%Nf~(t@~rh^GYZV9eXFt`S#efbO;u*em|$y znBlJw%jEe)TK`&V$>$T9IiIz>ir?q6z8y2`U-FS>G1_rHM{!!xk(sxi$L$Ai6uP7s zu$Hg=H@O97N7w(0xXK17CQaMaFL?o3W?$4YJ(6{llGjBdVh?n=e(GnOZ>q#&C`Nx2 z7k(=F!Vo~)^WVf2j?Jmqs3eFpm~jyU!Pj(Aw% zP3#HY!l7h;fkQ=qLJuAEK~7E#UI%Via#m-#OCAuSixQt5`+ZylAsc1u?50xVK#e3$Pk`q zi-i}2`7Bp>NqC9n2{}RzdtJyE@>#x6D7?kqz?=1V*%F~l(6G0K3as{Gp-QM@-x2hJ zft3l3LKD*n&CqV8&?>aDdI3*trV~1Z4yG5ngmugy*aZh$C2SBjFr%gWkgLv99gF0I&zx2OI>3fFrd6SFbdq1K=fVo$wfIXU5_$FdrPGJumI%)36B~35T^T3iTWaxsX%(952qtgtluxw z!t-nHcHHl{)$ybwy)Bm`w=<2SsI4}F&IKIJZ3P@for?%<-EFyqj#>#tovx1So#LAD zj)!X=bUaz}xZ`051K;6J8;7z}=t%8MYZ$+Oj!h{aqv-1N*tHTRN`7HU!CjC^w+o5Vb?ZC+2qSN8kMsd1Tv0Z7kbXwx!Hd zw!O?d2fTnbGh{V?i7jXP;8)rH+5NLPX4<|KGzZaSuc+^2OOSmhkK>u`JC|}8;6Db* zpEz3Yau_7YK337Wfjl=}GypDNZZ%gO%rSc2@)wYR4(qZRjImTiBgwvfpSf6zr z7pL{S=4Qve4hPl(LH6$g*t9@e)3MJ6Y2B|IU2}mjeSh6ej%eHfT@ZU6)xF&QF2~F` zGc#_;>%J8F2iE7T&n0wJtS{h@*X4|7MReX+lk3KT2cQw}*FA2_UC+LFJ-t>SBVp#+ zk#w~le!9MB+NakSEN8R%r_|q2)++TZ zOWCM=mo4C*PcP)36Ti$q<;|z>7z+PSxDPHb#Vuq)+$(VfOd0oPToIcS7l?bn<|Zso z$YUE5iW4reA0&L5@TubEgkLI`D;6nND8Hxp8)b`fjp9ejPGzU!$I1_t-HP2xi_)st zlaiE@q!>*3A1T9%|C%zA^1l^-m#RqZQT&7{6!(Rq=^dXr3~b_`Xfg9vU*bc0H=X-XulNYMc}H0>r#13!ad-=1fn0J&oY!xr0aW3(b5$u z-(SJl`+|f-APvA?{rxhOS-^rwA5KT0STho(zsd6GTg$ALHL=yKo7tJjHnHt&H`~vK z*fDmRU0~PP7@JfivN-cbv&X!}95io#?+6pjE6io93XP9J6`Iwna!uH*n{&+t#vbDx zPz%h9jIG9TP#Na==7r5?L8Y40&6&mLrjByjFA=6pYaia)ozv+Z& z*l1_*;5=r!w(2N2OqlMxmyDV*(*e+jacAVcre-x-yG){KgAw*Mtuxt89^;CCH!n@# z-|1ld+Z+m|Pub6s`1cx~+ogls=hxC+wA!N!Td&KX4=kD`7Tn>wLT$vlhI`wcG{p2G@c&wI;-p7QC&!hqtv4 zEG)6Ps=4mnZ8$eJH@-Xe!A|-tU-*OY2T1x*KrBd5C%|`=I;D=q!S`0Oc;za1U_#2@ zr~Exrru<9FzcAImsgELk{2TNg@>Iof!~$l8?XB>e53X|vZF6u9|FOb00uuc2HxUH>e!Ee7(xM!P}) zGj1#4*IX`+8Zh0NFbhzWrwOb80m zIg?(e$Df3Z%Q*OTYu+=#?_(U4!siy9(;_xnnw$=?#bR{&#MPEoXISj8bU8PPJ(hLO zt)dO}J5X{L=ykzWh4W)qoj7S_uEs#TRq1L8B!hny_+N0X7VlV7 zT^-_XYr3l^FvptdvIR1%*)CULzBR`sij9#o>22**Yc6TbTHxA%_C>DE;%aNLYa7lM z*BSBTQ)h?keDrLgGtDlr*y?j#7Oy>Z4)gOS*EMkr=NtTdEs$^B%4>GG?ud`9yKp}L z)OpY~#?KQt`&^GWx9M}3pZB{a1BKR7*UrE~i;+uv$Q>V0W7go%yU_h0aLQWY+KoBZ z@|i|vblN>Xps|d*7Y3@W!|r5gqX=_!p(VA+b?t=)BDBGE0Cc3L*>zYHrL$S0M{sU; z9RttUbGPf{jPp77VpuTZW89k7i|%~!fc2`o5caz6R*SZVT6alce#CF3d9|aj%X*Yc zc)~r$gnD;Ipu~F1tqJI?K6kZv!+OuHga5!M15IT8?x3~Z-GmYElc!l9a{7teD4vOU zolT&5*%HZuv})F#CjVU9ZcBsY9hT$nR(NJ~?F5YEr45@R8nDeJJKC~n7PbZME}D^h z9nHvXpPpS)4rX_Y{KvM4ENjc-^ipo+cCLSJU)wUbN8D^nbO*&r+X_yXak`2$W2+<0 z&?*bGa)0iEmNtqlwnq1sK(eicv}#+;eW08BC-(|l2Q+!idY?3D>mjY$Y@Bwvw+GhQ zME5|zZrkAA6Y$tJb3JS$J=k`VmY{zlq}oliZ7-(}aO*qV`!Gr_r!Cx*4SbC_IQ`JA zq0zZ5iZ~r6nxf6xtG2`LgQ#zJ4~Z_@i2I0m$K2#TZfdk0b7z<~N4yCBfK^~S$vrBv zBDi0n9xH_+RnIZ{vxp3cT7e+Nhn_6kW%mUWk6?j~k(Ly}0$V6n z^khlV40K?-?V4+IV8C{RuP<&3+a01QvVj|7NMMg`jO%}b^ly9Qz7p7Hn{e?IHDaE45iVky|ay|Dgll8oIKiA%dz7@`JXg9^!&|YapbC0Fjp`OnUW1Wo78qq#< zK#Hp|GD}e<5@EP(SV0syo2TvW$e8Bum`^+%3yG(>+M^7d?Y-i87_?aTdr||1y^B5R zfeXF)?_~t8^cH$DQIqe<4qTD$sy#UYb#I9$H!#|(@e~AZnwvaDVn=Var#NsIElYW? z3bfRDY6IhD9a*-w$zupSu%7TV2OjqtJ?0?mZS}MVmAze_?qI5A)MF9Hde?az!F0&u zGd1?wJz>-4UXN!}Fta!4*&595-RRj7%)wag!Q9?0o?U?wN%HNU!C*n}fM^7zU`6ji&$(c2?~r>mXfW#_?Y`b4o{NElLhm%2B-ZC0L(>r;HZnVoP&9TN=h2u)X~!v|rATYx zkv1=8)yG6>$60^4pE)l0H}xxHPV+WACD1*v`Cp!A*9hcPUl@+VedX>}yZ;E(>n8r+Zffci1z% zWkHMWvbPF6?X*v$bD+eY?X3%D+H<^(qG-?cwqRX&ysMu&8|($%j^HkPk+&x}$j|#} zFNZUa$&s_Y*lPfPdX!EZ~wVsO}A;oT5CYOnQf4xX?Zyi0?p?akh8I2*h>gXipK z@9yBm=y`AOs=eKNAb8#0?L8d4h4V=87Ok(~J-fwwEO=i!JG>`@4<*{?Jrm4~SPkb+xXwD0haf!^hv!0s`dyqBNTV(3Ksp!bozV3@x*t_NhZFK$nEd?B{%%P?i0nuR2s`zv|P48tvD8O`#V1EuS&8+J4X18tSm$ z_jQGO><@kGLN%ntpBG!{_J-#iX ztRguZr_oW8+iSEst+&Z^kPou z_wDr^7kBm@@SPG5_Z{Z+2+{PG6fW#L=2{k3_nqW#3VaVwJB^+?^5<{~(P0hIq05}E zj`+Fty6>!Lv+nX;5N|*yL=O_(S4MHB?+nEm+Ku$=rrk(b$LRx{Zt6SlyFxn?-zav5 z*ztyqtf>=f7Ty}JnN@-H4U4H4)b@bu)S~0_dq-h`5(hZcn4g2o!+)WlYJA@dl-5v z414JPtEbLdsC_+#AQ^P?-Hrg``)1JW*KfQyc2pQf;>nps4)7yz|Fmq2B za3uTF1Ic|^{!Hk97vE#>r+{OQKRa-||8)Pw{&UV;dusmf3Cl@q1b;hv{%~U zH~FvjSCHoWYe}A!HpgTC^?pO-4Oe=%jl9)9{l;1*zoj~v|JKv*s?rmj?;o5>|GoZZ zXR7~xVBDGRf7ox1#8vA#{}a4ra6a~QQ3yV9W{QdZ?R?GNiL68#x4&C@o5tQ4HQbX) zl75R6Z=;g*J7Oawe^b6e@5ueWNKB)M(I1YyUq|8+t>v(to*n(0BIig=WE5w%D={?J znIoq4Z;f~eJvC5MAkOXIC1odg#=u$RwDb=~vJ=i?F$+Ex$wlzDerKt;pnt!!LR^Fq zBRL1Iw@6kYvd?t3i)H;sW8WwxI+CwIul_^MS}|{JyVD>p?H+eFi_1b;PP4e8f0+K_ z0RQzDi~04Jz<>P}pIDmM#1i-~zUK2^e0_`m;wvZ7p6Fq3B>EHoh86K&bA6BhnyW75 z)szBeNcq1hzhf=@7icE_d$SKP#!{BUzQY(RWACvnwweW4A^U3_2DX*`h&8Z1?7y&g z**+XA*+F)gHM4(UN12J8W}mY6*$sA^t!KYwW2}!oWK+zm5EQxWuN0dUo7rK-4#lVJ ze=0s#++u%-?}}f`{uIAA{_ogS{IU3dRK&%fi@&5uioYBGJH>PH4-?`P-%Q9!cvju>eM$bL z4MIZFUngx7W+naSqygdiq#q|86kbUB?@4Ec*OD$JT@$oPpC>&O-bwmX@?4>lK1mTm zDQPLQg>XuG%Jag8l~N9u!F3gM@-vSwuomuAhMwNSV`>(yDW3ZKo& zo0TVwG6nR~#WSVZ^qZNQRta5gsO;^pj8heeWCRnqvW=qZXnt_@`VAJhEld1f;`f-4Se01Cf2)=*ho)db7UhwP_T@A^=2jL|7F8BkmR44vwzkqx*<5L^Y_IIDv{X7OeU;(LO%-P=w^r_`+*NU* za|-ARSkv zV>Ei)j2u;o72{QD6%VTB0?Vqhsuol&s>-WcTD798tg5Q2r>d^1v0}8U1ua)sb38gfY#qE-&7AQ0Qts#9hkqYtHSq9%V72h?PuV-{9*#P$qk7f1RWCE0 z>J`;1tU>jf>NVD=dR>*z-c=Q-3fM|jk*bI_tJEqrTcs*i6|)wVR#m~?Q>{|9Fq6ut zGBWy`ExWLiNXrXZ0%MvqV6KELU;!)E%+X|M=4%#e7Hje~g&MV{M5EDEYjm0>jS=;& znl8;cjU9JAnxJMQ+HC>7T{EEBquHl9s2S26(Hz&D(wx;?&|J}sYHn)oYQ{AWG>^4R ztJJ1y)3uq}Y;BGmNNxb5&w%OESISmtE&R)m_ok@Wh2nS6eSj* zJ8o%RnLe$qN$AV;|c~ZF>HX!|Mlk_c*u~{m~MzPnV&qk>a z$x40pN~J8D%tzMk0e$0BHr*Ce%Ca2RxA1aAzdAbB%#y~T@#RvMU7ic^JErgWLceV@ z#yzR;sXL>$)t%S7qH^w?F_$lsRh~~Q?^u0Zj=3iJNG7@5IpbRP$yi^M=ONc!(~EUC z^c$YCJC#+>nE#i_6nibNFS#Clbh(kbndMV`@8~zz7wWIotMxaYvg=orx`MBF|EYE} z_5C$U-e10+sppxx_;cmu8P}NiGt07=GKPbI4b((?23`z112n)HmrTIc+dp z(KQ=J$zOG5!%bbg;jXURFs`#09?&(9^XRWDMNE{RL0@LenYM9YEGbUweA8n@?}rVK zb(UU40X?lL!?imA)XL@ zuE~BP-)}V}*LNA_aI79>cALkjU-)E>M@K`3-9W>~oYgl@g)(;t)>W>(Vu`#XwxS^HL?UbSG zna^eNy|adOG+&xy{RM+P%JYiB6RWTKQA4o)reS0KUBj08al`id2Zn+A$A&#RX4t1w z8V>4G4MVzg!x3Gk;rLU}4tedxmh!V?W*mN2In%%DW|VS0#r>UM;!n?d7R`Jnp|7r3 z^4BkG7xO&j?PAt4_g7kXu(w?Dy$O|HHP1g6YpL8V?KR|5UN==U*3r!8pS&NzwXWjn zGP<`Bzc`OCJa50Qywd&XvvTHh^;!Fmnf8>{R&+dyY0&par#?FSg}p6}H`tJ=%Ql?S zf%;|L5}abdkxJ| zy-70b?l+j9+7C&3)C&!kr)*6AAwLtT-@}G>-IIoHdW%o|LE;Y?OIgDIg3wsX7^AaaoiXiCav0k+@eWFA`Tkd6Bp!c%$9R4oqhc)WxhqZBRF> z&FXe_x7wn1EW4xjsl)0`>aFS>>Rsw$^&qfceMmj5u23IUpICMWEl#V?sV}Ops;{eW zsqd-ps~@VLEEkq1E>BxNcX^h&boqkiisOme;*~N?pp2S{%jv zsNe@(m2wabP$nR8C4GN##=ETx7V$Da-f9owc$>fHs@X5tMZC#g#_=7+&lG3biueoh zmsknqtI$)ynwW8Vz6B51LV2c`XFJMvP&8kvp*hp62QlM8Y1WuC<~xWPpT^-sD~EcJ z%h(DTpXa}2WAL6us9TIfz`JxVi(`2>;#od>gC(#fY$@Ka-(t&H5@af4vzP`)8mquD zn^obM!>VyS$7{R5_?IJrbuJo z;(u++H$B%S8F5R?PnMr4KVN>i{93`X@*CxM3bqw&D<3PLD1U@IlLgB(@tWi%C7Lb?y`)J~Qhw&`y&6sV8Om&wpIKt$*^NkUBcotKV=s6_`3-P8so7Y5 zjktnW{`B$23Gm^^+k4;MyQEOFow&#cMwO2(=r^#$sLdf>;POaw5S$l+zQ%ElWasiv zP9JaYEuUCYh#7Q2?qK<4%^u9agL&;Mzo989*hX2F^79%sVMz&O%%_}7`DM_TDf3c( zZHc;kLQ`EnL7V{67hw{zC1aid=S~@#Ihu8(C$1}uMktunlxS`eUwIVHQ3hlnXzrrC z1Fk^9wzu~}u4*bN-ve4xK1NxeC7L&`m!H%sH8-`XG=4+|Z8{%+PJt+oO`zP6X1>PG zXS0e7&AmV!jXtR&A_4+N8jzw=Y>|grRHPIUkwJ!1su(q-6e*=tkz%CO zQbrMxQj5SKLn$9rqzp}yXRYr!H@S&#v@_5AGn~)hpZj4Au&I(Xvn6-(!`3ysziNaZDK=WQ=&2PTH^J@?!-HZgQ=F(9ERj2 zj%Y|6Pn@i%@Kb19qA6+n{U@l=pyvD*m%i27rhdO_?Mu?Pl96PN_KsvU*}Y~#lXty%8_!68B$z1QI6Un!z=q%P8+%SUOgDV!*n}(-qxhS_&ny61j=2iiwH5L_wlBQCztzA#GB*esFW5ccNe7%EZ-) zc;&Rj@bViqCQ+5RF)=DJE>Y9FIWZ-1PvYCX56Rr4y=|+sUel1*<90j}&$(=qj=*>{ z-aTFzFNv4NyAN3#FH6-|JQlwyULH?q>3hfeR8#Map7;%^Q4}8$9~~bbpG3V%n+!f0 zpBkSYpBaB3K9{f{zBs;YNUqEx*R}@QX2_BF%6MJ8A-=9-PnB7tT7%y{L*CI4-x%Ml zeJ8#(zFp5LS?7ZI&iJ1AzWAZ|(f9}IfBaOunR2AsRp!S^w=z>lWo73f2M2HNeM$qZ zDgCapTV;M_QDx8gO!kRqRP?IsHL6f&+hj?yG+CC6C1xicN?w&L7tgnsWyw6%Ihjaa zlf0oqX1qSt$q`bk)#9r^8_%j3lpLKLpPVEXYAdRfQxhgRJvlS^fbcVubCU~_i-ld5 zT$!v(HYC?c|ISNpOm0qYO>R%_>@zUAC%G?qD0%d<4apC5{?j>E`>uF%D%nh(h~Gmy z`?ICgQ>M(NwL{&ZnOqCU{x4cVjW@OInd{#LH)RhPOG314mK<~Bm?y_VIhM$=T#l#Y zcutNra;%r*MLD*Voi5uZ#|}Am$+1_C{c;?ZL6sUliKSf^?bAb50I?GSs&mL&$_N?GFGg{n0~gLr#NL-oQ#dTqA3U>_p>EL ze3{=l5~68w-P7VydQHBp@vU)%X|kp(kx<&Q-^+me_H(tV|Pp^lL<^Not(#Kb7%oMgCdmVK+ zPnED)!q!h|-~Yz*r`7uzpF7m^nYPy_o~NGSIlYd9diuQcXXab-IsOM@t>1JBGXr^M zTiL;n+ zA4oVAgl5UZjkUX;_RmbyO}{p&kDX&5yT0}B7PLhwr0nDe=OPI`CG?7Y?Anwb`uFt% zeJI5ZOsn7ETH1e*go^g%?myJ`xBsf5!?mOIQ_i2zR-f8G&vcH9d~$#Kd#_2K=|{&goPO>ew0DQ| ze`&5w%_V8)kBy&CyZ(RP`E$zi5BvLB*UU3%*V6X+r{<>WZteSh>KrrD@1B#eM&yu$ ztRUZ}w0!KK%wj8+8*3ZGIkEQZ0_6q`Pb35 z*p2m0<5J@>eSS*KMI~)M#QL?x$F6Lf-(y#&)mz|mEZ(7?1oarJ>CncJwy{XM;S#E1 zl@yc|mt0cPyQE*ql_gip5ic1ozpLaJUUH*wqd3Nu)Rasqxu@jY zA`dT_UGh-L{E|f%?!Rz<$x=l<`%=jYaMgK}&h2?_&ysqvcVkKXys0H?<=9ZNDeb7& zcRfG;rY~Q3aQU`FSH9uUjc+)d%hwz7`EElG-tjHu9p8WATMd2qN<&}1&u|6bXXwY5 z8De~yVF2G``11dFewLMM`ESi`Fqay0VYM;mH2UGfkrKv8nApaBTDY)Q!n8Bcn;}8^ z--UDh-^Gy*@$)|4Mi4G7K2&_P!|}nn6I3nf>N>0=G#6!Ob$D7=SFL?Oh?(le% zJCirLv-x&M9^dQ8=Sv+u_(n$|-{L6ZD;yJAnmZx(!UF zOXaLPhxQ_=i;%tC{-sRoFWaw}0{d0_koiLB?$F)lt2%p{@=ibRw8;$S%OQCZa)WPj zT+o`{mGm+X+E3XVjAw5YTir>c-)HOw2K5m=VXWve(mkoDUzIU2b5)wg>n6AB_O4~o z-CfHHbECVX??m6}YIn7xuSX9?k3^40PjWP2L;s>irv0@2wB-G~{k$-%?bXJ%*Vt=} zW4~a(AfEj~yz!(=TTO)0WQu3MG@UxVB>r`l5jV%$%HG|z=4{Em%9z4p3733E=>4g2 zR`g0UtZTl1M027!DY@&Mu5qS<^jTtu^JL8s&Q6`izCS#0= zWQ@zW-DG4;$e3U{Wqc#!8zw7bO2%C#J7ZeL-R7(_?!%V#oOO!!o+j%SlRM_jLxIey z1v0A^^!$WS>xV8ia-JBRYmHT)-=?ZI!*WM;iRf|m=^n?rO!DP|+=AR5`+Jj|Qdo^8dNVJa0A-{bae)`6Hr%&=nZNOKx z#$TCR(Bp7!zubNWd7{xz_2m4zgiCV!b?M$^w4A@Ktz?iCeOlHQ{ zGrlf8U}VNf=>fN8+$KF>V#XwslQB7CvgyLtr=p!c?DU~Ir_)EBJ~DZHU+UbyYmTdy zaE*jX%yLEiACnt-m*L7_=HvUXW;$;iSf(OPugr|oZ>6;ASuz{_i}Yw)<|Fbaw*qq0 zZ=y!%>4u)}($hoq^e{a=gPxvAPwyl>eVWO}l9s_x29GjaNZ$IrA@$tP`wRGZ4_;fQ zww1HZh`{r7J>P4~!~^ah@$B=;`pJGHYz{uh6X;bsMXZ%X5~2lbt$g#Db)Xv0NjeOep(+y=8Ut?&8V zq@Xs^xdlOOq`3dCx;T^4{8wu0lj_1U>&$Dc3cBmxtX%=Okr=-5_D8v4py+h`o*X=h*au|J+0bc&%sIc5Re4ugmA@o?L)Z2{03QLL_?Y~IG~1IoHznJ;tPS`YpP&YlhFAFsIY5 z89sN^e2{j{@VSHLNLrt`h<>i^ZAACA8UyPY5b$e zqtoP(X|45xH2IN_$&aP!JeHRBu{4{HrRC+zceLvPzO3af;tf%~C-qC*vrSt{=ikpN zt`W>Mo~#@*TiJGVS7^2|;W9HUv_Ou$qk#7hs6G^$1p*oT4LJbn?gsBUy zlbDSh<)m9C$GYHH5FF_iQ}z~!t(k!(ja`R^#x;nob)n5zm>JqC()N(fHmUX4naN$qel=iWlDAHz zjb6h)xZXa~JIfrairSkZy~cPlueh0Qf!~Kagn&P*G%b_`AtvD}3FQ(JqF2xs&e~4e zVQx1$@?BSOhTGZIf0@o6Id;O$a(lW(ZjrOc?d#5Qdr6q*E_8!`&Q@PjbO#1Ux8TTE zbhctKWv`pq>Lxb&N>H7FYC*Krwky9X+$GA%xx!uUR{XcEJ^!J)cjUU4y|OJh--%PuD%)S3RA!n$SJMNONs-R`XQ^j=$r|qW5zat*LYJ)|Oc79BtgC z($?!+6c2$THP)K1vN;`+`y^|#7gY@S7)+gFv#x#)`AqXpP3!FyEWl1_bFJEJE`$kb zT9^}{Y0lELq4}ED{WkImkrTv@4CSbA*f*YjMbCt$s2$D4=s)S7dWS1{8*q#=&3bRU zh**CO!U{wBvEqEtU$6M9hb7?a@LiBu1z0DL{Z+>ynAbp7gw{T=2wV@YRJ5zX2sjgD zwP}|J7Ouo*PkiW!Zv}YOleSiV2060Aun#IaS;!^GaYdK%`l}i#tx2tBq}Eht9LTqv ztp~te;1ujEBeoDbLy@jZK&@=CB& z(Xo-I5W5WA2(zBpILu6N6}S+7I9LlF24{oh<#q<=;O(BEZVTwE^Fe*j^mKS8SWVAS z#`v;#97Z({(U<7+($i|yfA1b`5gid`Z&=6RT5vCE*MfUVyH?RI@n{{jX_thmK;3IN zCY<64|&Zi7{$y9Dofg{m>*&=nra{NKIBsLX(>TpR{heTw&#;d zXNlvqW{aoeD98P)wmx%Ij?P`?SMGknkKm6pn{{zdQUl6-q^Zp7p^Fqf-6wn3)7iJc z9joJ!n&}BfLF1#=mB?ek5dA8TK9+>}EAkJJwc0TqqF(7eY0G|tKF_#}GEQx% zl>WbzHbvGJbZWIuB86HHq4|nlh0d$)Qq^>_6y2Ei4JXU*;o7p^T;*kask~L|Epk}- z(0uJn?tc1=v6q2AQnYp<@6fSn9T0q0?ocgzhg`G7GV)|_0Ju?kx$hL&8V~NXU(i|C zQM_9jXC9gd?4M{V<;B`>)H3n_&E4r{uhSVr(>hHu=UMhCYmtseOL~jkj{Q{RM(f{^ z|EjV*gdDZEoUp&4wd!7?Gqa`bKFIo}GLHCf<=d}{&3x-E()#GzSq2_ZP35h5T6Vc3 zJPN;C=W{z(OKsJwY;Dt7!aiTisIq8ks_ShXH4o`ENXqql(mo?L&$SzMj*^+%a{4;j z=chPwg>t5=?2L03>kRBXD7Zp3o#$ZA_vE_l&gSX(qu!UL)xPG6L3fxpRPbEzE%-hv z+fi_lC!@gg<#Rl_YYzQNWpAWcA^L^xy(0H?hlxDE)m(n)4HtPaIuEPt&G5v}@NiFb zygNOqTW^VXgXm0G9p`cTXM$av>jZD|?h~Bhd4gMHK@iq-fh(0 z?apf9i=FX;H#@%+T;<4^wf_PhQPxTI%1b->xciDKCqay?>P@p z!`e@ZoXLVib!Kxs=YGLEy|2&;k0|em9c#98P;jBM5B^0hyY~yRJk7Zaox5m--r9~q zTg;*@WIS5c?t8SwOX`W!KwHRtyYsg5a~;1e+jY$9)xn<3_4fc*itCY|C3XPJNUqC` zXd12p><-ul9izNCiq1UrZ%3|29>{v*8b;G_Qq9mA(z#bxP<9_|zNWLE^F#Few8-kw zc?$VQir({z&ePx(I88;vPS!Jw7w;Gf_U>ECrWf#-R z#=RAkSi6CF>}N24Q>?uOR9s8fCRzg-GjTkySrQC z*1&Xf@Au6=Z_Qe7)_POjb!wlgy=z+?={|L8|6adRb`SVAFheCnf37H2p-%kM(ut@* zD^)!k>%>E;B3G$DVVst;5&^gGyo~!`cv(f7O7&CQaFxxJMvP^1#oVH8i$=@rA zb1^mjo!f_7y3R=W3*9xNh8s2o*=N_cJ7g3g#G%s5SD&eO^tWhsYrAZAr=eceZ%{m^ zO;cd0rB8w|oqLCzTXDtrzc{M+4qGi;EJ|82YSTZ|95)c$szNUVL@|~k>x%gsc)d(_ z6*pnZ@yfUMA@!5HQUFB`BT>MHs-X{Env&_dk)Zcn_#plVMK-6|q=c6H@t^v`=B{Xow5(sr<{@Bs))YRa%U#_?T-@o7y2R={YlBm```e zn6fM<@v?B=wJ90EcG-%w|4}n~jZ;5^{EjS%(cn`^EAC@UZ~HsL9<8u3CQu8LBhZv! zKB{eGtCA#MH{m;wxD$Z4|M7E}NPCzXj>-E`joqNGyCOnt50^BE?1ga z-6b0C zB>N%aS46+*2Ft@a_M3x`PcBGp+SPHt10?IK@*8*VvnvcZAWYArl9W|%P6}V?oThgYKBp`q`Eu zKL9ALC?<$kCO~f>BT4yYf3)Rg5D$Ddo=1RM$L#Im1(YD-t0y=%PJ+X_xDDjnX+!#a z3DodlxxPTLetoh2zG7NwO7d@Q@%Ujh4eqqabQ&hu7Y(I!Sr5sFf2_r8y>*`FL)5FV zv7!SE*uv@3f?&n8B0p2xMPXf^UPt~yd(p7Tg3G22o-**#R(ZsC+E$`!eE}nO58;-W zqg{A-^A+*=0S@u7rnwfDI#8%GZc!MR8g=0d!1e~)BZ(^b1#Ul7?H4Jd_$xzoW#=Lj zjv*`J8^b682rr1;=)&QFPI52N7z+do)D3KcLCB3_B%A+<2U&~#sBg#3t@Hk^lBsZN z;(r)}rGc~e)&VlkX-VH>3mO@#FZrrM|Yq-VD#ozGG-Yt6hg7XiB`2kQtvOd!%E1ie82@H|3r+ZEX)yhsvF;Be40QPI6<3b!A_hy$G6^pX6 zqVI2np!*X)UdGhsx~F1eQ)r&GNd-H=Z~xVo<<}3lci7I)&`HD(yhRUpo=Jf2YdHEk zB-^*Oj!3eE+opRGYkS^&Pb84JOj9q*UAcBjC4NsgkwYtvAj;+^?`cY1)8_V_1D$Y` zwxuOa_=w)?_K-WfUGTa*tHtRe?FsQ)v_Ra1+RX)f1y0CUIk6@Y#%<)^*e@D<-(K9u zRwPSt{nQs~bfdlQePe^^Rh=43uNJi%0NDwI__l>n7lfw@5mX!DYAgOAhLpvI>*5I$ z^*0Mo^HY(}t#UfygC|hx<>kVr1#fKcQ{~*Iky??*K3cT!9&XTNh70OXM|8@+^Lg*~ zAq_@AE^aZj#NF`_kGbl*ymzKPyqxVRHEETg*>N!#Qhdt{+O$?P z%&6AsT2>pLV{B|M0#Q8x#IJ=n6i(x&pptFRO!eH93^&3BCRADlcCQ=_VBfnd9t__5 zbNUH>nzMRqM^Bei+vJY8R~C1&b(_{EZ-pX}lIDyah<=SrtTF{MQm_B3F0zPBWo z=NIij;D!5aYY>zHJ}1flT0hL_k3G?Idm^)x14pMuOBc2hB(%)d^iP&{s3tC$07cIe z_pSD^e&od$ydb$ld+J#m{N5b8ePtz6;bd=Z49a$0`T~qHHFXgH+`dQ+%WHG%N7?UM ziFEu>@x&qoAhtu)rY&ATpOrSDP~LH~+2*viblC=|=Bh`^>+XsJZEieCuf1q{Lqt3h z?{c6XuEkotX&Oy4`}m(7+A5s{HU+$CT+c%rYtIoeb|QHD`FUIJOK9#-+ecq2D}e62 zU(Ppz`4QM$-Z#OgXY-`ooG)g;5J7SJqxj{zqkFt>Let7U41=;x(%QAr!*0az!83O~ zA`DXFD(9uzgg+N9D7HEvJ?K90%Lk%~QH3tTVIOzl>4{|8adSAZ@<2u3f6AOL)V}+& zMdySpDo}9f1a+{rLSujrH3rAar|R4Oigid=8+yRl(yBS@xQj99zHVWMxV|nzb1W1u zSEL41-{4I0>qVYiV?7|WpqwE59QK6)1%o%mi}8|w>fR}@KW+o^+H+TY*JJmBtRqDA zEies-VSso|y-q(Oi#z-Z`A6~9i>OcFZ+LI{Go!AwNo_Pwyj!M}l%>Mg7Dr&K?V(7Q zrnByX0M=9f_+8w&@#%#SfOYiCN6Uji@Y3bp$Of$q?5;|LpDLA>yQ=_hsO`zk*8M%} zhoeFnr~DNyl{yLa$O$M)stU0e%x~NR{0HnF@|4dBUxc9qMtt`7o?IVJ5wwam51vnr z&I_?V8(hq*9mvG7mC&1MUueQ%nV&Na)QQSBO;~Ci6rSeVbd6&GzpDu>DD1ruPh)Qt zY7qI2bJUUrb)y_n&6*rPaW+6)3Jd<_W=s5#_~x`>(IJn-vW2?!JA6TXIU zkGJ60WIkh^_b^`7^>kRf7YP~fJKwlzE^F}`|G@aU8l!bVtUYd#RE#$$g-<%%JIG5n zYrODzC()-pHbW2A;k~-&6q@)3EkJ8>2KmX6(AzU!aAC@j*l<7lV9|5)Ex^TnF076FCML*krgIm_F*St?FdiL zzhy@-#)bK5?5RxpsyVWHXc3-2Yk^CAeq)e1Oci-2U*jcG_8VoZINk+HNOrnLY&L4vp8kc}<7`JF4zgV(`F3uN^+5vfNU_fn6myDVc=j5$y3^Hq^!j`i z1F`&SMU-dOK;=!??50U#_OPtrPuf^kQLA2K-%onNFGdyB3ks zKW0VLnLd1bQ}NKF@qVyB?U1$10G?w#y6%Fbd&=N7rL4+C&i&RU`<||0xs>C%HlFKI zZXe`N)pfS11cVW*gwV>PRvq=kJsOV7p3!EWMN}S$KVbsjFs2Va2d5*9DawQPp4GsHrPQnVh+fzB~k?M>Zl)s65AtVStG<-JdPUDCZ($uv zf0sFXS+1R&O8=p+fv-aqjuh_SVkM0W;V5F{vWXX=^14(r@li&kt#YPikV{d-J;m`G)5NOor0X}4j;=T2 zX%|lAb=zZB-4>~lLvP;~ffSA#)GL9ucg=mXZ*${nUt67#c>vILK01@Hn^$RrFYkCv zbFX2zB&RB3Jqbsebi6T8zWazy$lhOUIJZ4CK!t=M64`3`}XPj|Y zFnEjgpqqY*PMLaY`uW>=@e!_UhvrSGPzlH{sPghVQRx`+{Jm8PRcSS#p}>LC;;2<1 zM2SHn>E1ijUBIU2+@K!4 zn|d~JY%x}M$ZB|qrF^IeduSech_AKo%;TS@n{G14nedvma48OojN&aFNQm7R&(Om@ z1dX20vjNpcJePF_!Iw3t3{KL{$JYwN#=w_q`>Zodj*;{N{;y|T>OkJDQmGah_Y=59 zSsgU+)u?H8>L4e1;2G<_Q#9%gbGPCtxYJ*QXwc@s1b~8_Zt^z122g%CGU3mc>AVi_ zrQG`7hwJ_srJ9%>$r7H;6}<(K7#Z3#zN9IT*BhT(<>QHRr>S=Yg||_@=r(Zzg)@8Y46HxuD_HPj^D+veG@5vRD41 zl0Dv$`6+UnwY>==im~sF)ZpcgCj>-zybBFS;&;T8W3{RY<_OnmFS-G$l@8mcunx8WatLfmn2&|TEeIF!I z`9ikQ4?rtrD``1h$9x*jFLLpC`u#~CS?5MKD;Ynt$&5*3OfSTY5m+qNIJ~gx22Q5C zyx>pOh(u!Idk+i`FYdpMpISfYGA55)E)1`trZqerjH|ykjW|ptUUNUba$i^odat7o z$($a{L3ijPN=MUW|7xAse#=2&?+M#FNxgdmZ8XGS#obVs4xXQUYn-FpKx9)*{n4bN zLX$ft%GxK(S(~@NpW0{Aq;C9il;=9foNZ-{;W~obEFuM?KnhzzeapEYUJ%De0K__e zyLFqU8Uz?``qU~sJ`s9@Fg_8)=}>|47IS?J3{AuDX2jDRPu*2*GwT?Ad<2?+33Tms z2-mxtK7m5a7J~5i7A&TG;;*l_%F|AII`&(6TEiW`JaFc;@qki57N}<%4n6{{>5kqH zu3$c{b~bQtsb-u`MQATF2lq+Irr*dam9GxEZQrxkvKA$C2Ba)u&5mluOgL_>3BR-w z&W>bc>G%-Oj;v>izoO0#@(PE8n2Vylg~MBA!cS2wc7?u@!3KPMyw4IxhGxH7f3v)3 z&CQXVuhL~@pF@ zU-fTHS)?#b1C<51rfr^W`frUJ85e1`{JhsLuFlT4$`{usCFiV2-*>A;qp8rvGNduh zW2vuXb0nVuUyZn_A@RHF0mXxk!K94jA+2%LSJF9>L88&}C4-j1q_*UE*D5)qyumCN z6vJLwc-M+K6I8(hnH0@l(T7*xa|Rn2#Nf<|NWJ6}Nxf{qnOfz?#EH_6$Y#tfR_zd= zF}S>L!Q2{og%*nlV9i2XE$9HALl6%^C`T|ZO#Qu<~p z<}jCjs_&~;ffr#gLo>Se4SDmSj1%+W^d2MKm2AncXi+r7U{XqSu_sAP=6GrYaV+LU zYJ*RTy-GR1;ziL^a(+e88qh2Dis$?)7e(U@CcQ%!BPb$`Ko?6R58;x*Jfa)I#R+Dq zU^9G@inEWU-eFMe&y~TuY~kqxFK(Shu`BJ{W#$0C8C&WH!}RX+)Cm3$Uo)@ZsP#}m z--h?P38G?+(c(};&2%wEaJm%HDDu3Pir4VpG!r~f?gyaXo5ixI!4;5tu2O z3pv>4q31H1H$uxfLDSg$G4(~?mvaWdP;~ua({C}xJbDir>nS?(&|ml52?R1MzKRDS z7m$aJw?}nJVXMtclZryfM|DS83xW-JWt2Oi)_N$7qE`?65}*h;_6X#GIKz>nfy;y4 z5D6RqTwj?D_f8CgSn+`liPc(i4XIqm>BCmGW|DA*X-PhCq#T*;B+pDQhQbvF85wrz zTsk)Y-OsyzAMR<_zO2TGR5W6Zm?c{Z#wqTEto%;XL?NMRO7qm#m_agkQvSFWBi@j& z7|Yg77Je?B>&YgP_x4?K`~(t%p-I3obf|jpHd#}oT_Fm+Z#8ze_Q%TSwMsFEb(Yni zt9^Nq(oOhMk7KLSBm-;xYcQCNbE_Y-2Fv&6{mxglSH1ImBqefbh4=y;3k~VThBVPe z1_R4i%k##0l!zQq98h8|@s6y|m7Wl+FL^I|y%i)C25KC(@9l#DP{?demQ9v^*u^_c zlLZ}(F1a0z*b9OwH^Y(glxKlHdE>5ZSBc^;`HH@5AM((0E;LW2_R(ORCXky|sn&Mb z>0bg9yyz(58v;%t4>hkzSW{^>Lrh^%r)L5kzRL3f{F5?ZX&^J1Qog3`5s0TwVOm_DXRu?(CKdXNeeNtd(V#jv)`T_83;?I+q&xGxo^v+fEygq z&yF5tAVKT<%I;JkvXx(?r1r$7;{&=235HRGRy6*Vkj^0NWA-aG>dzcI%%6cdYH_|w zlag`4{W+Ir=qZ6$Q;2K`W8#ieUf+IUXX}1N(+E__`fi3qD}M47uS7`mD^`gx@087N z6hfhn?43h$o&bg{nIbgpFAY=tHxT^d6O%GGAKN4{@j8LH0s(kp1-!Z4H%7B~^x~P! zMq$YUVR(jJYRn@2fz^g-N$p|yJ6&$fJxN@Y!FY6wpNcR}yR}C3xIag>7_yf|)Kw92 zbW<)8)GlK48sMBpv{eftck6S#li0!Kdq=hXEiIxCAZ5?G`{i;{1m7Z7fGM!w7hx<5 z?+6OPO!!Fy?k9vWuNf?E63Vq7Vj83=gg_d!DWp0V{y2<-9YY$z`zloIcZfJ$Vp;f~ zN!zGU61$9rAS?V!eMvtD_6fl!bg@hls&szHLTu=y7lO9=sp&^s=Koy?$tGw;7)1@r zQb?f@2Dq%=!h{YUK%y*}gLTspuxssUh z1e2(2@40fe%((1CE~$yQa*g5;pK_d79PQCMM&38(Sj8bdWoyMDtWJuup*7|fo6!PM zJ7V9vk)okPGO}TeyQP1ohf-K)#xN(tvx)?K5MB6Ox%kNBxzM zlTQLiFEJuq^$<=;^1fWWRG3;Lbl#uoo4<4?#J3RXE}=_&IU%m)FpdDYZ^5)(B;UeI zgvm?3IFo!h6KN4*8(MwQW8w)z4#+;l<$*Dngm-#}_@!{loQWV^;z0Ow^DhQ}v_qC= z#BE6(U8u`L>}F{6No^+_@|@>G0ZEbaA}sP;j#(I`Nransc{%d4@Y9pLH^_Op3WpfD z!R^_$dYDjna4fiZ;xJ(*@53OBSU!Zk8#IW+rCH@Dm}P39(jf_&GGgoE z5|5mMY6^oigy?4#4?|1pOk$!WiDWjG?Go)zV}^~zmFY*9HBk$MR>rmL|0rv0g{R3B zZf^u$eWO3w9_CS2uz(nq`8>WvV}MydSAz=71Tg#^ZpZtHP>(M^}wh4_R6TR z@@v6M&9r_I?L}A(Vf;n-gC5<@4~;Mt0Vi9OY6z}9FF+=HO@2P4iJlSQ$5!Zz?&qrS zr^K_cE;#~&nBx+{)QDnPW|#ruS)y?v;@O|$0w}YFiU_PlJ94beV26tM2QdjnCBE`o z2$^mfUwK2X3CR`V@rx>a<@NZvo`rIV(4&t^HsTaePW?;qXS1xW3Ate;sU%xkuxX59 ztsYgA(4ZcqO6PDR?0I-ywLpERh*eN}72RE@K%*dXKNOIJ4;Q7!o(tYI%5ki~2rABs zV3>`;_+y^zj-tyaY5Kq`>A@pQcbg=4pQk3#her|FcdMA6fxKoF&z2f$ob(6`Qb$Q;R5;L zkon=C^25>c!XJ<7M>d(uC=wFHClq8E(iIDQYC+EM_x; z;V;UQ9>3wO#a0yQmqSMoqH7v@o`yZ^E1ZTx*})P0 zBD+N8BN*5*;!8<0-hXr5({;&TjO3V&te=g%n~g-Cjf|L$l%I_ppN;e`Ce|(@)-EP~ zRupUof0YG2z6yFM33@0BdMFD%eHDCC5`0n=d{P#?EA&Ck@-N4?b}ztzLM)nmKe)I09_G#l`x7}l@|Hb@Z#xsWIMk-zJNZvWIo=YKJHAp79wHSU)=cpzeeyh*BR z^6t^ekTOOA#f0VY=1B_4)*R`=9EsQ*DbpOu(HzPBcc9eoK$_n$EU<p~`KWe!=zY2^+X{nRSlgUHm< zjXg?5f;w#bM5ZTW`V`{N+S_5I@NnZPdL``jw)t88nB1|BQbV3dp3H`7rMZ1enTHs2 z;uAzVlHFj2Yagr7(~Y0P!Cbi!hdNDrOBelF3Y9h{`6rso5u~mL4T;s+X|lB0xKu7_j2HoBLyk;J;mvm%vS!@G`Yea zmv%nHS3yXK7jJ<_g%>6|rHzTf6zm6_)|39|t%Q(Sx}lOWEo2F5J!3p0N|dH!xGMXw zG`yZIdr@R9^Bi%K3th;S4_!w+`Df++kmojl=#bUxNAE1 zP_E`j1q|!058FeV?lv9ap^R9?A!=wBxOD!SGM^HA_Gnk4D3?FNt>H^Y?qW^DtkkUK zm1Dgrg%T>H!oyzT8lPv`WwVr$>ul1Ei1meow_=&eX9^I0^nEe0%@Bj_Z^0n-kEwHLRhz{oJU?`TNJBNjRkbW^(RX2o>c7w_DARd z?un5Q%5a)gh)wS>Ag z(LuvJF6G6BMtjRa5d1Jke|owt25Ub5o*OU7Knah3doV9x2EjUHd;5_+6vjzGW0(2% z0jq}!dx$8p%}TIh5b);iqTVtibat=skK-d_{j_h~#zC*du{R1P{WV7(V=;U^>qcAcm*EQ38JO-jdhQ-n z;0}1EI!K)&n4UejHJU3ZJzQz;V4mcZsaShaJ}=a$JvMZy`(X8>(U*fU3CZX=@hScZ z(=C60*N9tFox&)xX7piQApt%aPeq+hGknTu&hnDJ8b=;p4Q%Tz?1Hl zLY7?Z{d$e`bhG=&0GL#tWhc=#ijpvu>2hrV9GrW5;Ir|qrKU}!+Ds(;_8wzgNuhc&t$4_WxsuLmqE{m!j zx%f8ku7UIQn}gd~*r56M5*23eez=4JE*}#Vs=9Xj=Id{j-go}xyie!51m(^5?%CP2 z^Sr;28!XYo_{nfBL6C-X(kpxWx`!_6SyPKnVlk;b7w4VPmwDau}ESI_=1hS+a4eQ`!-`0NRbkYiK-lh=yiqvhJes7g~Zl4i$z{=dRecp66 zV7)eM!KuB0`m%}>qY=O}>$UTzK4|Ajp;Gq3mrIPI00Ayh41 z?bf{b2FgyBaS(R#kRLUws(8kQXxxBHkzIDDLcLnKqw+DH3iaO+|gW2|=Li0etNRDM|bk%VA z0JKF9$G)MAivh}~6$glC)??g4QW4`%wDR4$GIp`}T8PB<&jMg);Bq8KC&E4evgS6K z@q-rMZ)nl5zO2ZWf2kET()4tyg6d6;cl&{Z@1=erc#krKdP%CpQHQq7y_CE}IY3c+ zi7XP@D2fk@u+qqdAIjxfbErq0*}1H~vbfw&@d^c@K*ulOTr5wYGP9{?o?B4QX;zoU zfxiUZ`89#0DvqS8^MDaDYL{imD5kf%Eca*lWOoWy4W{20A0a(B8IlL6ch4`ba3CD# z15lW2w`Hzei^S5dF3oG(S%71%D^thrBe3P@i|ax9K?Q$QszyztRr%)P?y(8aL`)ByaYg40uhL^J>z*QS`F#Op#5mHhMVqzuNB*BID8%F_teM}+n#3-1 z#hv9P%$5gZ=wVoG>lqo_cwKrzooV5;6sg0h`u1JC3aUjezke#*N|gvjf_D!U9LrsFf${(6yftJF0|Kh`b%-bTCab{`L-1tdTI&3cF4>UJ=RePWz90KI5eM=^+3Cij@_fKvE)~qL89@kNn6jY(#2oRDNVAmx&CD2|v58 zZgQwUrE^rH#*AJE#LNAh30F_%X(!9baapy0jK;&0QR>hmaeLy;em#1msvzN`on7E| zQQ%qdnccPyDdck!JJj7Ax?KUBzc}JY(WK*DS}i z`%`b-?6ULa5{rb1imYVbU@xZn1#&BP%B05->1%wXr6mP%>n%N96PJ^p;=@jG2aMB0 zhO3?Z=VE+f<*D2};P2@i$wadFnrh&ct3MJ|2%|grNnLn*i~zI>O-@l@jYLD8?b=lD|SLG9~~5N9hS`2Yxd*Go@}s9Y@E35*8I;X=<~Yw z^y#@#k^7^M!f=2Oun9w|A>xe^A1mF=ia<-h)fv2&HevA>s~8e)O<&Y9l`54Y-!;5t z7*CHak=OOXpRVjCvi?^?=u&h~@xfV1G zX@;+_zG-WoHr{2mV=uIpfP)SQR-;xd2(ofp?K!RK$5*HbgJ-EqRJ3K*0TkArThm`^ zH*P(Tna{qlo=3Yh86R3!;udp&Ql^7-;EUTt7W^6D{ z);lj@G(8zNzJ8uTA`Q<;$Y)6*r2lk*6PNB$rgbSZIJBOKZOq6@7+Wp=($+r$ETu8M zY40=3px3pVbd%5#$#Z@5ttHMVi;S?+=()YD5ApVP)XW|9xH&)?i6j1pELr;|68hzP{rblVtnYJO zRg^8+%?BzOQQiVFKEh^!tq#9Vb_HH7YZ+Z4c$|0D=bwrw6qOUO6YlPUzO1L~W|Af< z>u=cT&+Y8yS_Pd~vRY~>i4wz{Q~y?!nU2X^$aAB*bl&IQ=pW(F*d;ue(|$GbQT5c3 z%3q~2HoPx^B~EFOy_n8THR9&fdAa*+LUb&lA`#}Ad2Q)~0|CTAm8*3iYQcFldStI}fHUIm%GLhSQtil&AJmQA$e# zC^1Q%$b6~vQE%ks={wX-Psup@Eh6(*Hy`_%zN``g6^UZGed%77xmOuaPlly>mO%Dq zcyWOYC*YD6-cQ%7)_BH)j41(YL#CF-9p{k1#{;QyN4CuRz%oYO9AcjmVD5zb5<*Xd zZqeOtJYbQlgnBP!$a({K?2mvV=S3e*hadur)Qgh!Sa{PHx-?bG3tQVqT&Sb1_^jsI zH+!EL$NSjQ!wNJ1_*v%wtNuPEg&>ytRCDrK0HxPn**xOT z#Ji9(+vjuMr}pPaP0_NI3ihrqT(6@kE#9V>@qPBL7A~caAe%AdaqhOxU*PDG)ww+W z7dPwDm`gVohc7e1K*34PO9_uycHc4GCFl)MpOw$n#HD6J)u(enMh0nLD{Wnk?{r8> zR`pb+aU6Z@Yi9NF#~U}eve2u;dIVxJ63~F}z!j2b zmN7%0Ag>g53|D7W#uw}@qZJ!o?Zk1T=$zO`u+zL!_MWU0(yrEW9qbWwbyj9_>5X20 zd+^q7ouD)jCXoWuW^pshpVRp303u4GU*%D_u^weOzpJ#{FQpP_Tk6j}>8o@lLqp__)IBo6FcZ^ue zhP(=$jIo}7L|9`d^a@QRRt^4VVp0?Hm!%6e`1cmRotnU%Rp7G`DC?bwXu21 zco-k$?fAaNb3f62KG(&ojdyeR-AmwZKwnC23zdU5eLafK?*qT{p7gmw^kYiVEOC^P zs^R=OER6d31P0$ZdIl| zqvauq+)Nt{d-=tKRIiuGq?4|;kqW?XTKigpJdPS+_ZOV(UlN~3S9W#0@1OumKycyYTRFtKa=O)p2B#*Hj9h zR7}Riw#N$%rIU&19kjQP^BLgy(#CVVr7ew8v+)yep=128J^jqGE-%TA!eB0i47M)bmO z)unLSB=!}9;MnPAXVoXifZ=k9i&Ei$kMkRX2kI6vz`gFOoLV`DjG+De#M9vA*9?Fx zpf60J+IfYmRMx$Pf8%x~)0mx+4yoy@W$0z2wz79#^)(yN)Vq zlKn(T%Ka4&yBfEi;H*(?BA`S)Xgg^Mmh$E0paR7)CK0}l+nN|@kozy zV;}y?a6j96+#en&BXt5u4zv-KMK(lu*Y&kNnbPN1ENBZque4+}f<_E}1brCD5aP4kR(@PdjIw#5P3=fW1HS6PvnTE^w zGnDI?%n3L&DLX!8y3vngbu7Xc-YX<$*66^d?45FZO?eJC=eBY3SzBxP+=&Sb@5i?+ zuwe!MzT;4D4B@*_Gw#S`UeI z#Y5Os1>S|qY%+nD=ex(*4Nskk>&c-bZ*4kW!K)i0qS*b^==c_HhhCqyQHZJ4@8V58N-J3!Pv&g(aFJB-}*0TYhZ!&ft8h$ zgo)%Ys7b=4Ny5p=rAi^M(1I+zj z2+SR<2e=0gu=Zey{%Z6;%RjvTqv^kz{N4WpgAMuLw*TP#N7g^>|Ec>c=fBqaM{8y# zuphtzgBde3fu#Xs`~m+M{a*wYaP2>mF|%<07vuzkf28}9|8n~49Tw(4&ird)7Pf!w z`G@yk*})cJ<>J;R(I)wyBZBMy9ua^1$@FIkfVY1>f3*6C_7DA^n*S5e|Dgx_9K8J_ zGq`uKSN;!t4esH8=jVT9|IhZ19sc3{2l^w!f6Bks`PVD|zj^k5+L#;6`0r82$Hypc zZsla`z$k8|?_?}$Y-np_%qVMYW9noEJ``951du-b=b&{D)@V0YR#zo%K(> zDw*zkjXe0*NQ8&c&^igs(BX79TB(W6Q2V)7+O#;f1LkLJ06gMYNNiF^7BWxhfMSY8 zjm%CNs0Nk!C81xx5aBG$?>CK39I!aK*UyjPZ{Q+(5ZRY* zT_MWZn#{EVAc*IC!3O?+J2A5T85{q57qPQ)GjaXvFJ=;E4puf!j{m36PQBs1zRfMY zI-a-HP8gZg$lWCm8BrSj9EE`Y6!i%q3r*cWg<87%JOcW&8m1j*;~X^BeSWuA1Eaj`y2t(Jm&b;_3%>h|gU=Vw8II>ort9tp^4Lre zM5IWL_$iSed+zw}5#x^5gywk-KYo%U!7RC_$Wb=3sH4SxWUH+Qa$LlHfo6!H&6Wv7~N$zwRxex zy~mvkBHCc8*jr5FPJH)R?E4&eWLiJreNxeXq~~+|#ohU->Dn7+qy(cga1=t8&SWgz zo08Xl##s;g9x^b|q+ud8)JmV`K#=m z03nm9$#dA*!TJsSm?<+c0GXZqdl7F@d&V)e<9J#Ju*FtxV|tNZX!*Ku0<@%lisS`z zx2ZC%?$N(Av!YwM-a=%R50eKv8om4Jm>ys?5fioxOrP`F9|dit62I4!xs%#zGMz}R zEG&bc2371{H3_D2-|w_hXVDYUJw4z_;7>xt8c=vRG_6+U+ zE?H6%f2x72$5F;6`gPc!R8YJPp*GIQG73wwvE+WD})0@H~5UnjI zUqGqnL6)sz56cOK*VDy+U8&yTQm|l@q|L>i8Zk@a5qGS5@SHN!xba zH3Pl4yg*2-%znQiN^=fZJRo?c$#$S6J)h`9;Uudg+^3nfIf@now@q&cECwJqrAZ9Ms>t(73 z;Ze2)iBPgK|8(l*SiFI%>XAQ1O?wYp9qT5jWryAZP1PfoCIaGD+M<;I!eY;(6wKed z@d?VjHyQkB34UBW@KtwE7~7tqCipt)%c&Scb)*|HXpn3i961C+&>r%#0gg12>j#8= z_9i6U@kSQhr)`5S3TkqOK8P2~xa?nz1bw|w&?q9ouUNG2gA~OHgt;&E5NF>)KH-Y; zeY2yW{Z)!O-_gq?`m2;V$&D)zbwQ8%5^kH32|d2I4m{y6C&dl(@}Q{4ZrfuPl~eD% zJ=vuxZn(xa^Dp#BEH6y)qs(oySv1b}SWUNGqCuHAuWPqn{`s&t6;O1N$w58 z)R5;=Ym`I)mNggpZv6H)3=GR7#5-7|KZy!zf*JCe^zF#{b&W_f$4A5~~raJ0@W!t)uAoN#dC*v>P z_lyGuFWOqc*TI$D(7mb%?JzR89h(}T$zCZK$lK+Cw~fCZV6@6Q0`TqOFF$BWdtoq; zm8RNrOWC5eqN}2AgzWV;Y)MZyX#)uxLmuR{rHR`vJt|tD%FbY zidH$W){Ae4a@o_E_<+{6))?tV<|7AQoL8<<7l09|k9E285dI32LE?jvS{*p73519T zgu)hs@-_>BsFyQpG9cdGn}thHr(-}j>mjKR_Q|hI3=rS^N#zzapDc)!=#!5Wka)7Z zBP6jp`XSO^w~KOH^)l)8gHF#*F903HlaY1DS(Q9&Yk+X60!nzG=aT|)WK6&P5=8KU zRls8fR ziMOy`#Js{(Z*hR|I%MGKqi6uy2i8`35cfLys|YZ0QThqcr}^#mgDnPt{sF)B3yA(T zM=;XcE%Bk_S7wjvqWIoLb^7r0CDA2htHNpkVK0x%@q~2dD`2${@Y(BWpt}%2?)4<` z-{JyIz&jFn5}h-s>p{OhWzNf?;dM z6+W|F=HW&gjEFAq0<|?Gi29$xyAXK93nruSdXfhtf_wb(a6<@21Y>w_&1~TOx8Miw zVFzzTQd#d{^cHSth)V$SmDK2~4iv=r`PN2%Ph5b7sC>SrkC4!NrD{U&102spyAiOyLxt z{z;d_2rb`xJ^0p-Ipy9(+S-$PfQF1!wZ4A@j1y?OY~k_j;rV&x{gmnre+0R4=>5 znKG$wL159Fd^(PI{2sex#FWaO#7@V_@sYh24iY2DzsYtF*zfTo;TS9{|L>JzTQabe zbAIPiMX)+!&Y)Jk$$zNdke{y4V>?m_q*i8n5q^ufs@3oDpR@j(d}AyD<_X?|Bfo@g zndvMdHZqX4!nkhvlyshTCF(t`2K`^7QidjvK6LC?IU2?e>7jknd#B8`tB_1spvFkF zdrLeC-loyUdtCJ-WShON3G`Ay;80uv%F;YZg0aZ4MO{ z^(jMP10GT;p>xvJ8SGPP7f~I*Jd-l&hSU`PRmMLm;WS5WqBpa=$-|I8w##e>LU2a| z^O&0u6EWqplq3umA;)E=tmmERD4Z(%9g)oZ?oNF>hrj?d5YXePfq*sPwI^hJH26hUATUzt^4+h;lZRX zyHEbdmU}K#h0RU=Yh!N^?Fi@ORJJ(pD=ZXhVw0g=9BDc0mqw-j4h^Y4vd)LXy8kck z6t@WsGT=u52qlgKL{KP8hfa6@7-49L#(YRJU@m&}tt%RTNFK-bB0g3prsoL!>y}`j z%|^DG=&fKOT9Rw;RFhkFqqM)J`mU7xe+)XWrDUema?lcZLMRTyC`WBy-=9&eq&&k{ zoTn!vEylq|Bk4?W?a~nCFh{P6o~#~abZKYUvbK#L`HY_AUuv8GcKBJpm-z61_5SN= z86fO-_0K4#V`t|l!@{fLo_Xk?DO8(S`@gvQ=IG3VZ_AEtI~_Y6JL%ZAZQDtAY}?5f z+qUg|v27bY`OUmpGwSHYB%F7Dr_|8{vj2KwOwge|YF86p8rT@| z5n-DXY@S&EsQ(v8BJUJHE}jZiXx{q#cl*C@hw}dK|EC-|pV@^i(+k>}5sRUW zY%zzWR+oJ&Oh^YSLloeUlXLS>iqFW%>P6@M_Wr5&k_X4MU-Ey$l!N0>d_*k&X0;!w znFVAmq|}LEA5qqxU0{}?WtN&p>-*iYq%IV(RT2w-=n2MTfEPd)fF!=Zi5E6im&rJ=&Xk^|>IxsFu@ z1@(fW=!Zqe$@#D|3; zp)3+pYJ`g^Fe&LwuS5K;fQ$?@ITD;z9*Fkkmv=<&%VYfetqxpef}b-H;m?=3P?K=| z-ylf6Kit1RMq6k^dUr=9b>~$-{=egz>QY!c&0?Q6E9n03q4GOudm_3*ou<;xu*rIb zB-N2nF{7~;Y>us!8!LAQPIMJcou0b)L4RTETGiEWQ zk`>|XNO;vx!y9IIVUA%_ULEK!i`)Mr$%tw-iX;;-YQ{?elj)Wf6YOsfxPBpuJ$+;F z<%WHbet3V--go}e55RGpjiZLm`{)9t4>$YqZ={`_XUC;2QCVqWo^N!L`{ksL7@G$F zk5N)evN3W$3OtV1*t&I4BO*dHU?hs~?4;P(;o=1bjgn2C|LO%zOUrRSDG@Dwuf99E z;$2l0?X(7~=GpAp;caYd^Q3faZY`eFDnuQrq8y)@6SW$fwYIaev9Q(Rw;`1V^62w> zr)L}2s%AP)&O_s3l1a-%`a$h_C1%5z#jHwjek0Sb_9-m5rlCd!gNqerJ)}luVej0K z=Cs%hF`{y``z9E+?feYI`K(9xj5)oj6G7RdyQRG1%Ee{un;|_b=$H1@a5U{wA64PJ zC9HMY@qLk3Ek!ql)oV&HedFOQNd2g$S6pMjO!mUu3h?))>ssaG-?wyNy^@QTtxBUJ z^%2bErAbb_3_7~Jv1KzrP(ARldwQOpK;5n5S5?&@Kj|-kuavZmt^J{KtF*;N zNZN5{HjaOV((%|Med=SfSp`8fPRW}`6JVcN|Drma-nYnax~ggsYL%vM&XxdRc|!Y2 zVg_Fresg*+ecDx;{#R^k-YtXC3}zAH$R!IX_Dotq8X_6_p{uDm7yagD!uG~Z(E|Qa zw|4Q{+FMx8oQ9i%UMm&n(Ug#en)cGA&0D>JWekULg}@h%1!-JFvh-eQq41j;=p_nN ze2yXPwfNnFf*zzjjz*59VwR~ZViCG3s?vz%ggpzlVX?)vwcL}ZB^D>3`cKWi^{g$@ z#pl6n%9BSV)5#ld=P1WW->2vu(Rf`#f`%j0Hde7$dpzq%d;x!9<->;E;X#v?z0o{EVMK$=+c%6vF|mn%`Rsrygv+CsMCM#r%fA~MSn$FX@LGN`F_ z&_>_o$YoEhNqlY(ZrxMrXy7{ZCIySF=v==Kz__}(u4}{U@g0rz3@C7{ zM)IDi>61}+mLPmSfK*1r%;y~{g$5LoS~x)C;jRoF6-!({J;5fpiN3Npx3~tT%E7(p z{EdmWl8JVmw6%HPjd44VWtfSH?ygT}FhZ;--Yn0nX6a?fUBNL;<;Y#BpA*gbx*Ma{ zg;hRVKhxrYlK|GwiN~ll(m-i@PK{Vw7&R_*pf@0!+DCy(2dkhV6!3Rt3O1(uTNtNp zRXsGfiQwf&UHKfFMAcWs8X^}_6?ISzQQN#sSrV z(w70T^Waj>)V3uig{xHq>{7Xk^VD;h_3h>7pR}FwrSA0$t5Ro=W;*s}iXHCZ&4YP# ziYcYzi?UMiGTZ&Uy6Fx5n{O$uEDdIJovHPnox6^YMn}77^DR`?9W*3!cH`rs%`rg9 zgZ%!j4Wf%FXCIPl*as_fu`91AAhnofN4;AhuIi^K>ulqc@UA{Ksp#AGos%}4nq za&%?U2j8Srg)fwJ6>%SvwrV#Q&e&tHih;4tlDn|dc?OKnP!il4Le~d_oCTqXNusel zI;)xJgHXuef!BNqjOZRlD}=e76oqDijazVpp+)kK-(d`u8``IAh^#=|C7$G_akE2H z(GF$Z3OhA@wWbEib6nNOaJiz69Ex@>EPw_hY=Hz=NN$rj7ZwB;X}l{;ZnXfHTH{`W5vo+?pa9L# zgsJpPtdFD}m;h;jCjZDW{|FlY$ahhaBzK=R7qEGpcIM3bf)BvAA*%=gBLzo7&6-Fj z(747w4l-ro`5j*;d|6l|8>lXM4vX;QMq8$vuQfiY>zUaJV8$T5n~W-il(MF>ywwR- z-@k;%*nq3OMll#s{!&mcU0I(ZyqE&s@QWAKsX{x_KG7c35g$GqMwe~}!p3hC%-CPU zW(k_L+}b1sZ$|te3W5v^6P&(;O-_7?s*cF@6mMHSWu>ss2?WgtN@xciH#s)^R^KAn z8c8Gagsn01wTOTIu?p4Exh>j0RXOpl53xC(!Ek-0zyVl;BGXQCb^v};>54?Q zQDp3O#W7e48kD#*xU!Jmuv}Aj>1N|2vvAs_ma;AQP~j1wM301cj8JbeVKj6BgsnRt zF&I6?A?0q>yvsffuWJLcx5ocqSMU-~M&6(7Sx|`nW!vhHVB6ZKAu{d>4R=+b2~WvP zNtYlWbGRK&yCif?qTZ0-82^IC8TV7+T zRaFuKE$jex&qD8&lMtf(X3o?P1RU~E5>k%lg0eR9X6_a?%p(ZCmL_WU;#H0!Q-D+V zUF2{`^te$@Q?rc;Ao(7T^45*LJ^wKe*S2)1P%P8Sv1SeRz>#fjQ#xpky30zho}!6( zrge?RBRC_qYR{^1s#Wh8*WOsTWSQ2bu}y>21!&>y?HV9tu1-V{2-gub%@8OJe~dx{ z0a2)=*sIEY4=0h|K&&4|`6Y{gf|0vT%da0c^OnguPph{{t0%jcFc6gP`Y%yzzii62 z(o#se1jn@sy&{5llg+(w1?mccG{sC{+-b4J0N}7GmHTY-mwORtmegvs(wi9llor1x zlhpd=;6Dtp8f%qDethO;-z{f@5tY0o*P^a__J5_6I<~9ZhBS{0W2?nv$(UL8o#L5qgNPsmgB(;^$x8t+==>uqWJJb7@kI@{j^GoBL6L-RY^GgnFMaDZtNr0RI z|0+TM*m=7guNdGn-YDMlq}=>7RmbS|Mu6!HD%=fZ@%}SURzeOa|>u~LjRF^`jswYqrnI+v6g_VboL=@Cwu{B*r=42@{48_@gu zvGLzcQ#YA8n-cx2tONIT_%-`*W~P+2!BQ=62J%&Y8QpUJ8I`n_S&(;aaFXW~?d|Wk zznXVi8Y#3j88UnAjB>5+5q7~Qy!1|2t4q%HdnKLu5S<+`Q+8n6Iox+7JEOB#Mp(_v z?4AmlB|Nod=dXTwxSXVhA6tMiMW|W-WEePfu_24xW+KAtC9&c67M4`X8pj!j7{@(C zdxVW3akC&gdwiq$q}ICU`}Om;ICSDkb&kCIC2qU>H>se_Z;qTH@fUpkIjNlClm@xx zzrGcPG)Ocx*6#?~2)XAi=kJDqCBy>sz>~09*t=s7%GnF``D`yl#$5uR&}$8LykQ1| z)H*~rwvPBJgY^}T@gj8e?uu#!f8ku}+@&;3pd1SwhYg1uYtwV(iDntc+)|OkcA({U zR3TfIq_-pCW%#-ULB|a=`@%-|&~qaLwM!F(4QJ*cX8n*2PDjY*(3a9LO$oI*t+Q(J zQ8`GHLYL0Hm35CzPNi=aCZOND!v4TsF-*<E73o*~<@{;)>Gvt4I=xODMk(;|)=>r)vW+#&TQ8{?yP}SYDA|PU-`#40w_z&+IR*)3jmWk;liX z&s?RyP{TCNT*Z9i^^WcuYp%a^@fqkgeNQqW7sV-6p+OkOHtl4VOs}3)Ihqfh$V}Ew z)~Ai7ilylRbbN%|72o}x2OQ02Td0hD^HY_~M$i?+O1W3WmijB{tGAS2m%UrqvbKx+ z(dR)lS=lzb#W!#M`UA8K*<~HbcHy;b#E}QcOPxnCV!LNX6@wJl6~h$kXSU05cPRn) zxV@5}KrUNVD#mWXnEv=m5P(+35h~=$1$wpqE)c!ZM+Ed zg8@`ldQoJDZl*FHBkq)Q6`Vrv4)wci^wFF0b&EylQ*yl%js6)D$4zdZ4B zx$Cf}4D?3)Mfj9^n2%2F3w|aA8axBP`Llc_i7eGZ6@tBpvr4`euewEt}X^;Op6lqHG zEmJL}p_uh;hpxIXHX8=B39gR~xWijjYJWpo85xI4i|t|7<;v3oOd8JAn`^Wy^`9*Y zo+xe^FQ9)7Oy}bAqMNDBpN~qsTcy@(Ws4Fa>9O#ZbpxW6i9&{R43fwFmP%M_9j%hP zu>|+|m*(!<^@)f399c~ZLc-{V*x3%YsEC}>(seH$H3`$}~ zDTc9@;F#2p2S4?Sp9`9(%yOwU8?W@N%8HZkESCNLbupu~jUQiF)0r&KRsjA~n=pIE zG^I@moX@V|j0tZ?U7sjVC1RH_5DVP@$|>ILPV@1OV9wq$KdO~P=T2pj?Ifx!+`I{P zqro(!-uyRmy6J+Dd8iOtbw?hj}PYm zn4!?2XZRjfY-g&*3IS=G3tJ(%J`!iij{8Jy#lhp1eVF4;W${*g^n0qVy2fglpj41P zS@%7h2J&^&&pj^e&|>9Ux#c1jcU0iDk-m{s{cJr6F+-EaGql}R4Wm+97q6+1Ak%j2 z*`ppmcAoMV>BMz^jV1@rdwi7fJ@cn4rD@Xaul*;#rsoQ<5%y`DmEbi;sP3||D(pc} z;ZQXSb;K%sT$qxQqKv5WcvMDQu-E*bfuZ$p3PXP;Yw4Tl=+l(blp0Id(wqz>Wo0ED zWf6G129A<#4&uQX7 z$DgbYwl+9A{PyQVa6%6WjBO;!-Eno;JsLJn%&^?kr|iZH5M$3uCh9MT?lrwPzZ1Ud zQRMLyekJa~?9+~897jWPau+rzMjQL+^B$OY)F0I4)|cKD?d=`qUS(U$XGLDwr>CaH z-ewjSX0VzjP!Q;|!w|^G=;G`Ue+5l3n2=F80z^b1&<(M%-O!lA!qopqs!{~d9~ZoZ#HGUXFGRaZ}12sv8UhPDCb(zVk)9&XN;mf2^54N zYIQeB+G4rV1gc`=!w$hKE)N`Pe|mKto~ z7ja!VM>IVr3y}HxS#OI^Uhi-%^css5{JTiY={5KQs~=PdWa3szC5&R;>T^t1#8s@2 zRCcvuv7k1-2M=e@&CC69S~F08Ve+k)s%^tg%G2&UyR%{8VaF@cB7W3ylYuyu^V=57 z?mS9Ak?9%-B%;vyhHYzfc0-aE*Yr27*J)QM;Xrh$5kTr% z{{p4^>{H}r+;vXZslP+JP78FFL+%7cYE#JFI+iP!A&tO5K%9_{+9x=P+@L5dkezKu z*m#DW)qDy3CzC4#<&L=M**~F<;Nw@eP zrDF0IJ?d+(hBG;k^k0tdra9au2XR7P4MuDyp8okAbe0sX@;`X&m!g1)P46}T zv$(!uQ*W6{N>vnyJ`-bj*-GLN*X21o8%q?+*_f*{Jj*&!xYpKhluh?{GkFc8jD-4^qpJ9{dl|%gvmf{2LtyHZGrNE73hn+IJ_|c%iPOC>sKQYuoG$hX* zOTX&Y$*cSFl8lgfqw3r1w4WdCz0KI=aZA?k+jnO%UZdlGqKH{-Z$w}0@93M(5}^Kq z6X7^;nJvH>zcDnlC$K5juxZ!=g9|&-yK^**U!9y{YTfLfd`#8SS6TakVh8Xk*ZH!1 z75L25^UBSDYyo+`^=w0e>-||tMSmW*}o}*dY(`2MC=rmH-%zFW~!R{69D;Kr3<%>xAly( zVGUKQw9RzWRu#I)8#F(ZT`9fnAIMjV(Y@*;`m&aZtx{S=z*=gItl6K*`xxFGYr&_H zD@JN!iP#?M2O{V<*h^_aD;lo~d+&nMhNBaN#}m`@!&;^0#}j2Lbt5K_rEKsm**qEX zM}+9P^jVn-QNtloVp{50=ifu$J&ha?R3uc}^0AB@zLa#=%B>Mn=8-sddFM|}{ zlzqAF?623qMZ=;`Loupf7=Pa{tqG8zn%7&n{g6F?Pg%Y##<|zOcd%Vo+(!LY9LL=$ zkefdUm}RkYNIST?to1tx&vj8O^?5#N-;f?4+GEWu+#fipka^C;;Wj+tH(cbcW1U(C z`O#Y)mt22-@Q|?;<)c5_*wv@Wwo$n@fA)S#n@>{9wEpW||EW4GyMau995~Bu~1A}(TKZfF8DsLE9DQ{#dnTSHYl}g6A;8tiQ0W8sWY5>^Q>hm zIjJsUi=C)&^dpESyl8cv=$N$*+Sm8Bk%K3YHW_}o-P%_0v@^N@An4ves6Q~-)o5*| zOI92%LbY`vO%EUicvO(1F9)0dsRA{qSngFLIZFzRwOGEDw zFwFX^`P%jDDBN=2qOXiXJZXk>di=3LvkX->HP1t%Z`73KQE|gK>Y$PW*1bnXO}tVQ z>zD8da}m@3Ly|CMn-z#JKuq8K+V6c~RGDS2?htQXL3l!z3I-~1tbm{y_sW+a!;vM` z-W&~(i(5Pgo<^HA9hKIz9FWN@lK2UE(1^=Xb^eU4mdy2B|x!lDQ zT5WKz`DPQ=bZ+*6m+yXZ6Xy+>G3vbd+lEw$1>|*dSXyL~ZvN{22sQLXtqT21c?sE3 zILjFO(|X(b4mz==DXo*VjkBG(jr`T*&hv`=`0oMCSy1t}Y#whS>mRNDMVoU}+98EX zMRnl{OCEyeE14_V963MJh0;njT2nqb`@aj%ZU#1SF$;g#J9py5XL1L5ki``x;4&d8 z1S6IawPLaHc}X%I)`DcsZ;*bYI{y&JVHaw2a4Gy;@kbRB!~KlvMnSPszqo`@7HYg8 zSNtxN(nwbFZHgLIG_!B0$?OclxkSenx?Motd6r0UqI4%6KXNttw>Ucdnc-5So z$_{+p%ZH?A>A$_2&m^K1a!Keb&i!R9`1AbMgUfK|7M2i?x{4t(LuKlN#E!2)?-TB= zg>~ev(vH0)NLlvi#und90%T%$yevN%t~S6GJx+%{8aq1WXvvFUr~+lcr~GJ_%Ol40 zU;cg-w_3%16Wz%911@uH2nX&8+KXc=3c;fdWz`ZhbZ@)#Q7dPt${8o1L|%ch*D#`h z8+pP}_k~E@1M_E!Vf%>(C0vQw>b`+5eK3!!S69rj{485o@ck`w4f~*{;H3gyYc2uU zoJ-NfGet`7z%=Uv#4JIPUAo4X`bxY45ZnnqgE1e3Ln3ZA4%sU%{9CSi7i|a+Xsrwb zxi|Qhlo$j@`VrV0C$X^!gtS2`5LWyCQVdv%9pk0kL+B~;=x@>BS7S^RkZq&>! z4xz4T1tPF)im{R=id=89tly?9oc=h{o`e&*TTRKa9Fk!Yo{zqhiR;y~&1tTyKBzXY zcTIFX-(OaXf2_ZV>&n070FX}H*PmYz7YH)s-X*U!Gj-1$SF#Ab(yTJVL2oUbS2oIj z$o_Q2@+&7ro&_07a|UO+>N$Tf!a^MP$*?u0COtQ&P7#5BQpYxSU#Xk%Ky}fFc9InQ z>k^wF=M_05#Q>6+B=g!yZ687$KSd%0_X9X20vhmFHkuVff|PtBP0<;x+63D<7`5E# zeZ1sa_m_>U_-mE0fX^-~p`U?Wx}Qm3n5clRk8NpkI$mVz`<9F=pKt!hcyM;lV64G* zt%s%it9nmH52*ah=DZtJIKpwC9S+3E41sWY_cFH@#Zg+dR0WBxMQuI(xgohcLXbx< z6OAg?7WKKsxYR;Y#1YjX^~_Z1&!*DeQfeBh@{Yc6)DUE1ll;b7K zTO`ZBjEnrUVoGx)w|`h*gKw>ZSvdUJ`kZ4z2PcklKH&QSp3K_ln0Lnd&l#)gmMhzh zh%XFhVB@G~rfsoj%(<4rV z-lQ*j>``SNi7acYYAJ}qya1s%EE3K6Ycer6#yg-`_9!P3K5!P>&`;c%n8DeoBlF%OUl zgE0@#q(&oTKuj%`a1U!JT<@2zk}kw5dba&X`EouBWhkvOuEEmmrKlQs#%pP>r7~o) z+^eI~3_qz!^0R>rA65{U3YwLU#l?huQNVj=L4ATIifJthw7w79ikrfOl>!yV|s-**P@S0I%?!la!8Q#hP`Kk#QcL#-M z{AH1Lry?duq(JS; zrHG&qeLsv00`IM8`aO?XiOgy(xybT+MY%8HUdjwy`Vvdu-V$C&Z~!_upjbgc$T2N;RRfMgTjjxrKV`Q$Kqc&@cWpGs3?ict>e;*llUo%$a5E5 z8YiS8N!XbJi=UKUIf$1?`Z;q|Ja95-5}|FqfwtcLki)#$nPTlQOb*3EraR2;I_F4X&nJ3eE7^Vfw~C$pjqJb7S_9cR( zIGfn+==PaUbe@Z|<7CCHq_Li^)6_#*s8h9s`gSfPd|JqGXU3mw`q~B-e59eGmme#U zkq)`_45X`f2ui<*0$ZGT@=OB}o@m36!;|vH@hk0jEv&zzAKMh$ufL? z0~ginS;f#r9G(EYli{|ec#%`va_508(ROJLY`H7Fx^Rj%Gv)78(k_gS=^+ds5l;9u zzd!nn>%+*7x@WRQ&O?S+_;;x58{biG8g&zjvaUzgq}9NB>`&K(VGACJJM* zZ)hn3vR4f^`ugwA$6B^nuQ6VN)r;gB!o>S=;0FWa({#*?o@RJ!VWbqyDC_1#<$CvR@1Vssp&cq~RY0Bh4F14yghGeBrI`dnX|HXxo;vy^ZHyzD9|BRST{NU!L+HVa>966UcsZ zu+m#QdhvsnHH}>ZEZfNGl7#Nx(l<`GJk|qCU_uXV^g_;a*NA15&BM)r8302Y=1jSA z$x!wa?Q9)=R2yW^fzTS`tT5TLw_VlksA_x28zo?~b%y45XcWTe)y&fL^>`OJF>@aC zdL^XPBLNjN1`c#H0<9@l@6YOm-N2QARicgB6UIY<9_6<>zW_=*lmUG&XXD$}JNp3k z2JaoY${xGdX6GI|aQJGPe~)ENsc!gf{6eQPMweFH?$XYc>|W_z>!dH(Zecouq+72a zXi6;}NR@Wr6&(Ai2YmJCwUJuqYgb-dqBYOek$gv+@jiX`LU%#s3md0=83#umsb0%J zGrrgN038>#ryuUMhq?(vz`Z8}(i2PoF|llRpF^VBVwj9{b^&GQfy(VHn!rW z=j`swJiV8SYb!$n@_8Kb3$bMIK>U#kK{7LTbTjvOJZjS}$gPBiLQi45@DZ>P(z=t; z(cU9)G^H`riI(d z@TAGDz6!jvmQ)AE1FQ$*1~qvLNgY(LwIU$E0Ry6t9E1^`xE1tASMHOaRI9X+&h?>4 z0gtYX%d>HQ=wL^`09Zlk$#%_63+|zWsp^>9c@KqmxYan=j7nBKA~h}pdU;`wjp=dA zF1i&W4N;`1@f>o~e%TUU%Cab7M5b_{z3%;=AyZtpne$vM(#zE8^AYboGx-C?tzk!Y zg3qUeuwid7>#Lg%WS;Mh zjU^a2$Ta_t!F#J!4KVeppC~nEZub{>@8_?%f7edPZQ4tkZbVNP3JQ9{u9%V05*Hr` z#6<^{Y1I%RESkowC@7k#j>uA$sDiCC9AC^csbhp0EZEtSh4g<+8J7^Drp}9_}{nNga<>abI+c%M`CanaYKSE2NV0{z#$e24c+Nx$B4g&rhs^ohQ{4C!$0-AHas z07Ve5(I8e4`!Ld>p>{|H5k;aAY{m@>BpG#ZLZ#toB8dx!cXe6Grd$o-1+^)UBV1|@ zIfZni5MKj|n0aU-Lq)4g7o>Cp%{=#XILwl)2}c&q@yUQXe9csX+hMmSwkLuo{C9F6 zRNm<1=wi&2myBFff=c~cwh4@G$S^gXFwQI+V^fyx@o_Z*x(hE2PWY(SNYf?FHg%~ZA$t9GfHHzsN^+Q|T@s#kxe zza@LypVKnsjUljR-O_5|()x-E*3x7o8TM_)Nq;D$41{%nb|e1B($_c?-e)#_vsnD@ z`10c@#3 z(3fg-G!%f^J7@wMavVP4LItl_66Hk0Uk@Ev=t-KU#bEK#thcV;J4d~`Al$Q}v2mVY z)|*Eg_HogkU~ScNJij>l{vcF)^rD102OB?}Se2+tLNS@!qddF7se_M!I`n4D+8^R0D; z``W>lim#mA$RLr}zo*`_L+PqJBy_29l(e!vTV-V_yK3(@yMjW{hUyB_+m&++r9Y0& z;u5sd*-2$)n)Q&UDq*(}X&N|2C#W;gi9)a#iv+{2M8)YaK)Y1*7l~po$s9Bbs+%!r z#DyQm3=%49WD9nnv6W~co*b<$1Pn8HrDaJtmQfkA;wqK7C`kyZJ-$}m5YBGkM~YI% ziCeJ&9-D?g17<~ySB;*=;x(pE?%(J6-?FZyi4MW!liSpQ$2Uz*&J60C=-lO11wVW+ zO72y&V;aT#e0`A7dy&KBvXy#@#;$?uwB|kT|Sc^ zG`_zfvVTe^RYW8*s_7=T=9O6}>aoYg3b$|)%w6UtB%*3z2ou>a%#%;Jz~$y4C8{Cc zvhO(SyL0yV8r5og-KiP+htihzOiALJ*(%3NGOvh2Ngjql1qMf?S%G$4{tgL{0^;PTHHgDGGoRbhi?^^Q` zQARHiVJ!aMkO3<-%+=p%zi|!?M^tS*oyBsBP;-soGF|6TSmigdb)mJHH5iB3sMwtO zgUm0=7gTRB;#i8v`{#KgfciMq^)0K%3)KHHs4!X9f#P2|B*!Zt=nJyCR>2W{kD44p z1$oJf){=fMkvI*C=*Q{#sQ&~?_aQt@FOM_%O&?m;ze<9ymcz!H)qIk_(R!2*T~vLb z@em-~JNRVT!BNf6$3#Ln6&Pr}oQQM!1A5d2J5GiS%84 zp2NeRkFLiI{+Rlo+h4_PKAzCm>6%#3yBf6)2qRHlE=p{41#>4OjGZ4FX(E#}VkB;c zYiNzH^MXr36C+3BNxS7CH+&D;h?vT(MKF+i@{OHGc0N5pSL)UEtrCr&LH-bWB(MCBk&%8jkOy>@?8 zmlzW|7(D_jnea1ekqtHX5y_`nP~5nv^@o%k$pXfttYK*3mlz8zNzB*zn|n&ixU+x5 zy?_}J{r>OwN*2nIjaJ(c1SmVLrvcl^5Axi~7g;Wk*Ziy3lG}ownnZIymxB%YmJhc# z0=!#kUA!#_*ALntm4B&|bE1w}iMm$b@^}Or$eye(DhHlZIlU;d=5fl-ttr3XDVBS) zfSPFd==gm)MEWS6DQj4~1pEhk+1t#p|u} zlpmLg%*T7L+J5uwA2Bhj&sDgPllk{wTms$dH1?jg>D(IxoeM3eI?UZ9yVY@*Rjrn&cUyCM`NOVh8@9D&&rUR*Gz= z<-%WLb~NP+x4iRGf>A22DIu*(B#ZHS_b3CD&SbLg9=^%Iy`U6gzQ~5h>k5fbEo$kK z;5bL=WOHrwa(G>{_~>d(>0}A*@=tS|y~#vh@k!4(xN(}ch$1hHLu8$z%>a7vpWkHU zhL#G*PEXqP@0tFes4dHnixUgC^JA>x>lls^dugz zO<^Qi(CvVzr+}VH62)Y<4*p!THPP^JPA#}|MlKrPw4T>`QE3SRn>0g zUqdo2nCs$mokF**k#p5TWweMzwxkRrpkbLpnK`Dj_4Y5)&|QJ8@)F8f%SAdpT&h*L z75k6W9>l$EVqQ_bj%GXAjEwwT!!*NA-JaRn*;WOOGJRVOTb-YclT5X~`xxzJ60A<}=^SP0vD7*Mbc8sb+uev=L*Fl>rkdWkV+tNvkg6 zjoMsl*;WV(1F!nRKh3z7Ed1cmKZr#K-LHg>iP4ZXVTeTP0Vo6`vZa4A5vwb$UP#iV zXBRRR#1PQ*?Xh6cq1nBMAz5E)2uj)02DR*6stdi2{ge zUTrcx=j9Ron<{HCG6b1rZ8baArqO` zyg$q*3`RU!v~Yxf1F7@~ByOB?7(N@nI!$*JMtktMNO_&uy8NQEOieyx@b2WBUSD~F|&ESg`o$KY)vfaSA_$` zNf;Iyujgv8t|*+N+w=6R1O3K!l11J>_521BmW@e^0Is;%z*3g8Xvi){mMs~3pbgLt zXwQ!8zN@>);Fao?YL@Co*%G;3v|hArx?=j#ExP?XX`I}~p0855K-?Voo zOb`cFtb!O@ks>fo9#)f_I>>>-WUxHsO$K@aSJG+7SPS9M%~DYqtLZVUH)AVtB_b3N z9H|I6W>d@00MlpCrBoKK=7n8C+kj7uojXMC;eRH@LmSnz6Ckl6kT2fREv1*7{1}p) zTSndqXQVBlgf| zD{cH;1uw1Fo60cZsF-6Z`;%giL^tYA8*7_UHvBIs4q^M}h{X<%tpTPE=rNrMT(BnE zaoNMb3g)jLB?A%Y_eCkYQ!k(Iu)Vr$QN=)QmPCshxI+{g=T}J)(w0hq6>|FCu~HK| z4GU6mBo$u_@{obCf@${yk7_Be5%X?GdiVW9>`8PlJnn61eIkNIVDyXNhry-WN9*x> zQ*6nTc`Vrre10!tcGDx*yYr)p^5w~oqC}l&?m+YzoY6Yo%0_MqPCKXBKo$+kfnvnc zbEfyuxqC%iWg3hC8O(4oTkbBt6uU67i*he*U9~8;xph;xh+uLU%RPyryY&LKO!|zg zv-cXrosCllZu0X@yT2`LLjZQc9!YmtBvG0Oihq1RMTw%jZAdY=nBC~`gyQk*VVa|& zzjBzfHvBA<0GH@Z1WR+)aX8^W>QopgX;k|y=qQ`ztv1N@kg4nP$Bt^*91&{tH3`dA z@J3T9hPB61xrrU9sv6o6RCFz)mp&axrL45&38n(cxhaQE%+g9#fG2~Aq zADlyj8ZhFs=Avay;Ynm!i1SC1PkTjL|EOFdqoGQ=c4R=2) zB5vJw-%|nI{eIv?S3+0+8`(+~Z&BQg-r^y(Y>Z}|m%ycOep*VPTLo$WjV$+8!k2Ag z5;?;qSA?K{?$lCTu+qWA-GKb94+?4uMouC7gJvPAEuk;vJ<4-QT~kz2bnR?85t`{| zJH9-1*YP~P;s|>wu>HQ@<-F&8w6(1hU0wLz(evqEV=2boSSv^_bIf*JvOrcvCaO2e zBA9S0P54cT-qO9F_ozi`9Xkc%#-|`jLGV;#qqVZ#u$v84qqW?=42QE$^{fzYlzr?B_xIXU~YzX35*A=6rf|Rtzk_Xgu;KxbGN5fdv5Rx`X zLbP7C)^y?6H+j_FAF9QlNVdjdEWMc>75_!pZ#0xMJN+u(;^J~pyawaoQ+)^aDJItr zU71zMJkfC$W|{P=d9Md5mVDEJ8EVe4yw_Eb$8kAE>UPdr(XE zpoJ?Zm?2d|&j-9H0(9dDt8x3F#K60PC8F5>pf%I#GGYFt8ch2&6z9p^TrYSSnn0Q}c58O0acbqVe zt?IDbF>3rm2`^76c+3@Cdul17#9?fN(EUDA%OuCw4zFa*RWg#?myYHPS=I)z$sWlS zyJg#|;$OCl^_Gjrs`@VUj{B|XXa1X2{Q6fvrIHi zfGR5u5+(E^j)I$gqR8i$(^;k)5q7CW74?T3CA4v1j;coy=`loxo*VKXmFRx->g4ZH zpwg%q-w-e^`iSXrj#&8pg{mG5KyN6ZjGg&*DrleohpVOUlojX2iFe#tg1Qh%RDT!2 z!}LUZ?%BqV*?c8`L`#$Q?Z`QI@~5^-cCP?RjR88@nnAkcXT9mFp>xylugpY5Q!@=5A0rxUj{L zMl}qbox=w5X$c3nA48TdJvd2}OLvmP0db^gwk!U~SF*i9To>Qp)dws=${c z>`%s`)e$@j<#AN$pOgh9px~tu0md?$$eIheJ;wsza?bT!-7?i#I37w>z9MHIseCKU zfwP;mNnBL7c3No(GCn(R$fxz<(8XW z-(j2=+HXRihAbu9GK{4vi;8Q(hzJx(+)kf{l|=k7)tSD}l^ev9l%vuB#gqX?jG&Ch z5D*yAak_=#M2YGz(a;BKXV(Q>BNeEnjIMwmmz-+8K-HVGdh~=Fg=d@D>8<*Xs9&HF z@!!m1yYRLe>WR}j__>1Yw2^jC5mv{7Hh zJ8+!@1lKfe?QIEtLFe9dTCbq<=9V?ntLHrsU-L~=NnxCWVn7u%I|@BWu=+pk)S*{% zO3#GIw#m6>4@FYLCtMAu0x&R^Tbc5dTwVL)f`(zD`zFX3@F6o&HZONscig=Dxmm_a z`y|;mOzNX38SlYjli@15!4z&$1>_79(qRTjE^pxaCEc&2!C!K*GIHPVWK325wZf2hU2zj(*du<> zY{!=G^23#`)s?QYr$@*%I>!H^>zsl!VWM^YCzFY7V`AHy*tTukwr$(S7u&Y;#kQTC zsdI78&E7YwdsSC;RaaN_#p?CGk95c}j1LmDRVF@Id7z11O5#MTB}2Nd2Hb9?RA%hU zt}?Z8A|sLJIwUeTHJjL!bzynfJrhGt9v#FT>OBca3QP@x_4!%1^W^#R5xAbz5K4nn zbgW$M8C?2?p6@!#T7q1PrdQtCwca-7^Y9keii%AxwP(lKe5|J46^7imp}FiD+KX8r zJcOO0vt{X1V-(JJkY}w>Id?r2#J2&p8*8y*JnmczrN8BuGcH4b6r<(&dXI|AeC44c%*3T-QHYt*I>xyS8 zDxfpw24Swzww&pp;WPNj-Wdn9d#H>pm@48) z;szjI(x@+xBRGO27NsxeP*cqqzafkYOtGKVyrU`;pY#suaDD88%wb-sUir=m>9}|I4};^c6RICGN8J3+?=Li(UpMTq+Va{jhli{QRhwLi zDGv~a54fVm0i=i+zEBRqs%`QeTOG+7sqSO~dX??UZW(Sy)={=mFQKP!j8}whG?H+U z>dc59&I01BBubQho0^^2Y9qte7yrSQG{{9WV*B9tP9NMI3`!{VI2Y08*ut__q&rLA zu(;nl^p-JBue;ugPvA2#A^l*&60nH!sCSi8yz5^eEDnLYoh&pS1hcsOITO(YvA}UG zPN^2TQ^qdU@ra8@=kf4)$kDSefR8?~$w1jUVV)Kc>#5pEYuO*I%R_5_vmZYn zdzo|gxY47Ql`ygrDMxn)QHDa}GG{@RxN1Py&Op{Q? zem8c^jS}eLI2pcVf3<4o?MFhrY=cGvzM^RU@EbsbAj(uwg-fU9Q|z?n;T9z3iTNCy z$Y(WF3w(1!(nN4O7)hDe?&7m)G$t;;t<) zQh%;Ze}`Kxcm3~|cwap#3LBcpXp6{vW^%P5GWjq(P60I-o?sz$Jrn*c8F=)rS7)kAu zp@eG#@u7!=B=kV3+Wp%RcdpxEBTz?o;==M48VLU7;+AXjds17S$-N=?S+crB65Of2 zSjBqF;F9tuSgBsdo>!^?)ZMN&P@7aUq(V17x|K?5P7M+9nc=mgolyMvESe2@In!YJ zlg4|-`Yubf9I|&+o`w{;#PGW<#%%goka4NKqbYH$-S*{!Czh_IXf2*11sQI5LnX=S zB#Q$l4Ig!779;CVg30Y#DVJCdktlqzVDg)la0czI3#Aq826W+2rcC16T;?ky}WSBvvaVgwDj$WZ$rHHu!&l(ZY4{`gwcCRk((JB7IFN3Va}VVCZd? zkLBn$Yinrrz^t+x)PQt~gqE?N?y^L7Wn(c?bH^&+UM@~}&`2_~{P{i`7J6D4Veefw zWers%`sJ;&t@p*0?gh;UNJC0J{D~ksOH60E@GUL~{GknhB z!%`V3@^SvrY4_p4V;ceA(MpeyygRtM{9InkxiifD^^T~k0fY3E7pJPqD=ps5^3Rm-+)>fH{VDcldc zqF)NH=-5m&SZCpA>U)eA64WMmL8KBl%NoC{)ckaQun5|-l`TcWg`Rs->Vr(xmLr{J zZ?_A=g|3f;T$gbEcCRt&r*Oo5cC43lO_Jp6s`|dWnDtX}&dg}ygrXdj@#DOSx%%Jo z#w;Rv+#r%zxPkxr#^tTGFPyR}IIH$}B^5?6yLI)w62Y(&q^aK3X(}fX)%u*n4Ic@C zWr|aU-<)ETGR(Mec*v+--2_tf%;U9JyZHDDk#~c^y3uI}+Szq?K2+&E4u{m#tfJbTcC~(F z1d_oMhpc^0&7nyx2kh$CAS^yCye3}m{-+-WdzmqK9)1kUE7b9|zX;!}Hymm)A!@NY2fzLV1Zi^-sS7<@B49zm9oAXtaj)l> zlx;fXTB@_}Y1qNHG4yt=2TQaYZEh_&JV z<5>g}yhS1kaZ$Nn>T%!n3@~#Ze`%4D%4{jz!FWE8ljzsjv~@qT;P>H^sX@ZEyeI}F zHcWT9&2Vp@HUmmcQ+^^2p&R@}Ony0bKOw(YjgeBKEw9r8q|x-O;@@C;bHcZEQBiYI zVu535ynVCQ=>dS99MBVxt@tFUiZ$Bi4^rEz0wrI5zdKzSvmb$1w+At-q5Kh!d z$aM4xrW1c%?_?J=;r<^g`~jb9-*}&|wYUhdy75BS(J)QV%1&F|Uy8$3g*VLEf@8~= z@d%rD-a2|?GZWhQ1Ad}ya9Bf^MCmbEhyNCh-A=gcz-^?oo9TTuy0k6jkwa`el#C*@ zaQ9zfwrZQyO8-J6JQ;5Rg~3MjFLw$#(Ux?LLalwB%Nd>l# zo4=kVwk2*QdF5R=U5x`(8Y}hcTbLrwPOSr3B=5GGD{cuInSq4e>|hCwRiINe!|YI+ zNj=INsgfm1;}#|CIq%3N7za)*r&vX<1gLVw4H-$o19T$j?vlOpKb`NRGH=sP`_1ocLnjuFW)?z!LYShzFpGq*=k*F- zdfmQ|h{D6?!d2R9T$3-gz(w*fuiS(6#xyA=gdc|~k-(6RoKD59G5 z&uj#OR-wP??EhvKl{hzx%JEP3UT&Rmn)9B%mMS$(a9(x<^{%#O?HqW|Of=t%ta|s1 zwrF=wbtIcTiWZSt>zlV|LRP`P2AhmeY;CZo8`IN@v z{}Z1%e}s1D;iN$r;PV%*=OBSsI?@f1JLZU1d`x8}zsxiV!g(eYHSEVYlh zoz3UW6@;#TuFd8%e{bbS|BvRT(~h4)6n^d3V~N_e2jYmHrw4E>q(y~%RU0&~UxNdv zN&m$^M-%*)88`Vobk}EucjVUyK*OaU2yvWoyxxfu%7T$q%V^`!i^^ZB z-Udm-Jg*TCBk3P%-Bz(~3#@i!V1z$lD^YtWBG4@0VSj_39INPDKMR9 zzYk21EDtvdr84~i`iU&JrY)T6{z+4kmN1NpUxrep$ zj$;;@m7c72<=kwHig;k3I-g(fxF7X}%p31+lJXi6Ogb@I$)`>u}wevj8& zT;qIc)a;g5Ex-Q`n?;T&->@xUhu`4QC1V?ZL1(}D28Kk1et+_`J}y9R-Vj?u{0%j( z^kQ+jIs-OGy32~ivz0s@mRs#brD9Y=1kQ@(#dN0wcV0cG>bc*A4}1~2;eqJgfP`z; zC(l%Yj(9qgV*#!jIWtY8N8JEW$Ce`>lFLAxmo_zeid;0X3#5eL1=NgIr?qaEXKI!0RSHK?!pnJ6nE zZCMukKzG&!Ju%ej0YMVr9;ZOLF(;EAdU_#L0FW?|S>X zf}~sLsXX%j-5b&QH(v~SqyB%2Z2U(>`hVEKk zBz`D=lJ$a&ced-uzXY9nl_bj2e&Ua0n;)6~NQfewlT|KRJ20Hc10L~vO$EIT_v0CiUJA|9< z)fD(d4fjpj3O^bP+rUg6kViQJVv>J@FxRgvGuC7dS~v3eR&d#-4~A9DADhy?Nc6ca|{AHA*KA&*3u=g|0j4Odk>z(w8{T?sy=uX0r zGk%MZunD^{a`}kqPoL^w_edj>*{5BJjm*tW+na#c{S(FoNwLpH!J&b_q9O&(B_WFMGGuJLaRko?H?1g ztYdasT048|20NE7V?Tt?(;pu=xDJ+YBsgL*i$b(7Q`0n0j0-N?R z=NJ68CU%y0?mYJ=)3%v%T5L`!(NnjYn78Xx3WyzvX+HsnjYnL-9t${<8O+zI7&Tjbnwz)4s_O3pZ?N*WnH7 z0_rF_`VLb;(Re--nCubmfw%RIrqGC%<5|_lJ5l=g?KGq6{zS}2I@~G1kRr|M%YGf5 zd9vc<7kYPh!RjhN*)qm>|72MExi56JHuE4~j9jmS*tq4D4VpcrutL7R=4G~J=Ud$J z+zU5S%{?0ZMssxe&6sS#Q|=09Q?P69?q=spf?rpHvN_Q;KDwq?!U-76K<8kn%~Uu^ z01PBBs6lu{#uP?wJfQXu;sCc>tvXN z)zlgU_h-*Ox7>Y#^Qib!cHE=t!S@70wq+6TAeCqAUA>7|l1X&!tpdaJS?rG;{CrrJ9|03}~TmA}K zFHL80U{{Q(4^HNjhdi~;n4v=df2APJgOP9?@lTk6>T;Xcp5Q&Zo(+2!S9Qwmw!xUP zZ4CA*yTKP<{+bv}Y&1~wRB4+stSltdiX}9|zXT?(o`*@bc<5BH5g(ev@wkuiAkeD^ z-Y!MD@fr5-1gjeuE#+C;r~>f3f(XDWcA-+SZ@8dOenDk4U2h(!`;@!Is1+;f)&5zI zN}2HHr*7z6Q&UH~xVCkjCJ1U>^`$!j^<@f_8;F;i{xl9uT1=GyHsX?sW+!u$nvGeF z98jI)?bYmv_XfFtGwSga{YSBo=Qd@U-#`IBl*{=vNkl&KM@7JOQ*Yt0kxVt1E|Tja ziT1do9uEs*=C8>*GH`n1;=V!t>C?NJR{zF*zw23$XIJ{|%K$JwW|r%!vLt8+*ewB^ zIPQr7Owyd*NB%AyE;zWfZ@1LZKSITHuUKIeS2CuTf1Ly#dy0|7-@|A>-q`rDOk0!; zs3)mwWr^)XFl>T*SS%mN)Q@YRy3hfr_blCblI}k=kGvgLVRd$?wW~ZOsLwVCY z%~h1xk`z|SyRXRrA|XG^x=gw!^Wd3F*rD0KOVO0~V_Of{`hw+1jC7s!VeymhWzfg< zr!#2zU`!%#>W~;Lw&*WW%0&ih2C6`>FdEC_&^;qdH(PveIweV2nv zbWu`0Wrn&#!!N!|ePqfqPeo(^Yzp6{)=5jk>;?-|(n%}f(wfBTJcT%g=P^O&hi2)G z`68UKXjvK)?Xr-2m2M^<>`?4NVcE@pP%;YR^=*DnZ9GMyq7IKQXOdO`Wp z(>Oe#0Y}+E7H4@8huNvC`F{A`i>4<#Tf(Z*B)II(7qr=4tccM6^uajWWAsE_+nrgh zzFb@SNv-ZToC%jme*VW%1{3zQK4-2VB5YN>8AK?5Im=t{D`BPV5Pqqrj;3Cds&ILH zT55U3Kfr(HJg3Z53FN4rIj+a~;vzCDhC}@hBLxklM4toam4zslZM-!q?mAdZ_hVq{ zXn+6Jm4K=@kt!5cTdL?23d^FEHvWi}*V3SxgdlG;M|yT!ph87`#a!4atYM(ws#c->=rvKNR9BJyK6BJO@`Il^n5?M`z^R+J@`HqqLR-GI@00P2D4vw*BxFGHMZXKK-m0FJRb_%^vO zKSh*_n07ggs@ZrnrOV4F9bxV6Ec}oG5(zx~gz^#DWzd*Ey4r4XNi0=PR|v1xrz^5< za#ie1VbwTw(q+Y0PcBEftbGSXazUn#AELOno!+CS&8*tyI&U*8YJnVd*unpdzp z(z>2RI`U6`zJd!Qubd7zIH5mqkgh_9C060bW6tt-c>*kU8e!X+k(S-me4Ey)mFP54 z=j7Wnl6#3G{Q2QYlEhoz5iF-Y7B|pTjObUB{&|seNusO@bqmUwB^ro|O9l(&5qgWb zY2CkS>L=n|x@WzXVJh^Hs8St?DgcE|ppt-!JS2UT|IWvG;+c zk9{Dq17U|nZ-2oF^gTTXB;oZ3#a#bbgcu_ORUt(G9I}x9(Z6T@u)LQ~p_8!ry11W= zo;I-P>8`a&0_5q$=VjG!fsQ;I4^j1{m6eu~S#mevMX0VZ-4i(ak%8!QgY9L%H&pyQ ziMqiKcxX0u%ymps9^o^PV!RT4#v_-j6knF=7WqnFoO-DUEKi=JVH?|70Zk3dyWCvo zee*wpzPW^&98w(6tVD1Fn7b#}IXjOuCtFlwp3+wI?(;VJ0DfK_9KIY?pEGydW)p7} z7o;zCJaVhu8ofIoT{oUPPv0k?ID*m~h`wz1?vJ_Zc9%`BixD?$TR?lS`^cpM=Z~L~ z#>>3avTr@AnB1m`(jU=v*V=6AlZkf6|9SZQ(K`I0hqn`U;pPBe+BNwbbh{+h2X3u& zA%Hmt2_N*iWT2c@=33yHzEq{KEvRb)a=ekJU_<1Bx%_9W$U)@sQ>y_8lpR^ryW+zdW2+3RN1*gcVOROIlkb*Qc-5sJK{1r=&Vq$C*&0QzpcC^w!?a^5V7F~ zr6hQReQyxeWzW%y@yVX36zfEv7~ZZ157p{KZ|Rh^4R%?GsmJEBl()BLtF>zspzMAM&Iwd z{QVYQP6rjzSCye)_|0<82`wGO=As>-(pO-ssL#q!xk^51*WYvgJ1 zUpV;~XAeS0k9vgn88FR^{+n@%?t*XCu~RZ!|KJ5Iy5st21k7`IGM#K_Jk;f|{%mOU zN^xJfVVUvTWj}Q}pzB62x_f}U3NUMe$Pk9*m+b!BK3~l%;F;yRR1vTUjx`F__XRd% z3D!rO%#UGLj|p4yf*TRRXh3TGv#$mAygXlpWs34hGYm2W>w_~34>m#%nsW+Axj+h` z77D4S4jNt(fguh2N2I=o2pep#4vrG4KQ;GJbsnb4v^MqGQ2U_b130g$>rvy~_Lmk; zc9IAO15s#1O&GSMxQ7lj))94^fn!ssW%RKO= zAGNtKEByTx?TN42zFAZJoa-g^xJ2sL-#|Ir5`k-Aavm{{Z=trM9MuRxjgR*u{lwE1 z3l4+Keuwaz`}q3c>!{09p(*1k&yd++5o?Ur2J2AepBHP2gwdABgQ#1y z4g%4NfPE>S$DVaXj_$E7!l>i+0)2`O7Me_I!32AX{Ya_;{eX7u#i^%AxmY@u)hL)@ z@y=6Pb9vBxL8qFwHEjauwm?&pf_0NvQEgqu-N@HzqO-ey%wN($k1lihhg{CcDM=<9CcMJ2U^uYz6YGdVt3(( zsAKk`+I%v)5N#wA0}77mt#bFmg7Eecw7baua*uavW*WN?^o(D%CC4H#B2LPox{8pr zwIRp#m{1h+<)^HjX@w#zYSVR;VphR^!Nf#2f8>^`!KR~3hKb9bL@K~|H~!Ffhzgn3 zH8NBi{HHb-r3($olRt3#+tkVNR$gAqGPjb)4*i$yidDQCgT$A30!Mq=87>lrDDfOT zx)cunwo+HmG--OJesVdc{&fTe<}!{Z?vh~bqX1DeHC-hpqtFgws9+BTwk|5G*RZRp z_S{$@I)3TA+BG7+u$^~|o!GRR!UyLQ)4ksh%8~<6PvpZB+a|n@G^(dEu2$-$*SXV~ zfB8%MC8_RE?=Ek_$sWQ=i2siIj5@?4Kb9{5wSa{-PumoUdMQcMi&8UJdT@vQ`Lr%s zm|s_~fPOLU!t9(@?sS|y8mWN6#NtQIv6h&qe^_mO7e$?ZOwJy2M4JdTnMgbI%x93N}-7}^k>Y? z5CP|SrDxza z;EZkeNB9T!6Tchcllh&Xk3ew?%Ut@!<H zGs?!5zrRDzXm_Ve^+5P7xPE`?$pHNQEIWRE?#R3Z_}RWA>>`NuNCYRq5n~{3kCi5@ z=2!3;{E{TIr`^9FC6yb4^+-kRub;Zr*`29=JAL~GpVsjmbLi@h=WC@ z!kg_%J1L*0z4_Ke9eVL3m_7|j#7*zI5>K4gR%FlJfn!c7Cpe(r{(06X9tg8uDEDx) zlzs&ICGf=9Lax4o{}ZjZE%$3nMIt zs38pX>aimi^-aVKjeVO8wEK7iq3o9IX+yZ2K09m46eJ_=ox7^}`_3NW#Bji|ZKqd9 zdymDA>oRsY{oFpQ80#A98yXuZzgzUD0xMu7F_wlu*iFbLyzcI6l(Hw5x~ksfAJ&g? zXq!m^CHWl#M(tD7AP%?PvTh? z7+0kqr%;|6VlEyX-Z}-f>T0D*E(Y6-+q^!pJ+gJ@YUk`10PGQLRU9_VXDoOerx@F! z>PdE6P~OD5_`Be{=DW{u3*t-S=X6KucCx671yq(Awx@LbXd0|`=D|dR$#7$u+0$uE zOcUG`-b&A8wo0F+4Fw1>ovEoYnrUY<+bN!O52I!W=d;t}d1^7YSRiYM-D692b#+CD zpzzt@+CS)(|LlKxqkN@;mqy<&*JI(@->TS~Qo0c?iO9*9+bP{0Y)xuHfAylf{p;1# zo{uMVwZ%x@Kf!&T$^OM=0((tfJDIZ8plhQ!pQ#=5br>7jZ197oH05VWP7q?5=yvFqwq>j7SF6g~JOz3+r zx77%J(jSUm|6iWg{ocr~_q;y|^jf!~_qwPvwT*F|AiCES){DaD_sUK6--rfgq1*g_MWY^5;7r#06w8y;^gDuncExKV>AP7jxI>e zUnlh#KACf65wS5>`6xy=0ge!!f%GeCdesPzKKVbn@`zRFx{$m&ois~qYHoR zT_>ZPIn7gkgd7MU1>(~`69*5ly% z3heYjKFHIB`v_i{BMg22O-oE0!+bm~X)@%`H_4}oy9$HV!R&=O=#2tCoqoNXZpQ)r zqFO9*d|+&%gTP|3PadJ*KsNm8hudk`b@c4h2uA_=+i03_bWI99zsP|BqHGD77Ja+)X^ZnB5C+-( z*BPC=@;9|z`#^T=on~CzV%XBkh;3~TdgMwhPglJmFRlsm&gQQbfAI%cOB;y&`}05{%A!|H(%)dQn#Z(s99cjXM438*10Pv$d$;1NNgk7B8C+@Ym^)w1&Pd zP4evo_vzV?`xLSdW)p(Zvp}L;z6HNWq*obLfy`D|J>GaB?SBw`Q1&3k{sj3%nSm4- zMrOuV!sLe@5cf;^HP~W6F|cR*b)Z1<)GiZ?5s#LkwcDlIQj{W?IFttJQbqhG0?&Uu zVCsyat)z?_u+c1ZjEP{>m!Z#gLJxZSLj->h2nZwV^q1&1CU}N? z=0$S)bpB&6To2-+7e%|H1@Yce(}_RUBb>GsU;Hi6VUFpH)F;@7+)Nwe(!WW1$_P_) zSKcXPhSIvj)Y<7#Tn~xLs0SNw0kdD2=A|klB)-`bpm{0_-UFZN5@-JU&& z6cK~F88-Fd_jDjIVuj0Zh1(JvlvUFwFBRbDc)D+Ud06WWOxcCLyvGrhC^FZJP83-X ze|=*Ebl@?^Jjp&o7xGP1)c$=aA8(ahp7wM>wtw{KugfIvU~E}aq~dYEUW|w0F(W43 z{o`6$Z1JwMzKMLHO1%e*ATF#Qimt*iEktRp5>Qx^D38t_8Bfi4|zM&s~+1~td`#BGP?};#p zx=Xl6@DQs9W09!6D!(R2V|?qhN#&yPq3{u-1kZ-djtfhc|LqZgTl$+@M0{$_6u~Ew zC-})1#O%d4UF322`yI}bZ1L2XD+6_o(iz$#f=@1wJmm5BJ7|V1j5$cd+@E(QEv>Vv zLz8#BYL>b=Nlgh!3)UL4x`lQ18`F8tN4R^ujlVeJXg0+%#hGk_L3IlBrc*prgJyP$ zDWMX-bi^?d(Klq%5OH*bRuLg|q*js1J5^9HkQ^f&+4?mz1j+9Z7pOp3{s#ZDNr1B8 z1M`N`7Xj6aapa$H@gMh(xogyr`~bqPL9fjS#AolKN&iKyhkfE!sFn66CrSsmLOyyo zc(RxyEZ7o04P1xP4QeiSVb`Z8#Hi;dngqIQIoTA-F%ZE#TAFhCg5_qEZ-Rbu>@L;c zYZrRMvM}e+7xx1>`fQs`v;;>|DBlzt*=9!oDMDSeN%#${p0gH4Hf%S)X=jh0byvvN zjc;6RDrrxce20G|WdD=wm$fiOV5A|zopfG2F2 z>riZ1v}W5?u-RhLrc4CTI^l}Xv${bS$;6Qz28&~@Hk`i#l3BiD(Md$N*kKJAPSFHh z;aj>En8I1&M_#n(+HV%u*enN~@a4J@?iAvIL1=ID>}= zRr9p(ak@6d+TxU{SV0zV0g!wO$X?;j$E}}D&733)MH4RfEd!JR{k1MtwRN$4PUBtSxXb2L>dnt|4aAat8Zu( z)(m}+Yn))J*Khw}X<@^3j?Bfx4QSFpNuSzhjz{R-`TLlg#zx4b&f6tw-+C zXN!|Ta5b$RHLXa#D1JUr-A?2}-V!xh=|dftr+dAAEwI&-v*}0vV%O9xRn>X}K1)1k zZ<^6P#bllOTT+7uqPm7ukzRC%{z}N&O&p?Nl?eS;P0j++F2S8U2i?DR&zz~m+cSyX zG7eu^E}V3mUP3r9%d4~!srp+NTZrQ?*AH6T5?oW#4;|ZXkXZisT8rQCRNG6+VG#!! zQ=qYo)+!RhE*K9Y7e2>PE1;p=Bh1-#Y-zZrXYl9U3qDJ7cStphjMHXdws$6ms`nI* zQ^rkwj8S5!c}TI6=xXJo(gxHj`TdMa+UVx3c27rrWB{Lbb*y^J-`Unakm9kNJrN)= z>->lY&j>UI)av@bwWvX>Y_zwp3yfOpV3h_?s1{a2S9}9#5zA-Ytb=u@|Oer58z4vrQXQvlr}nRJ!5HPS};f z34+$fWmX;>q$n&ry@YhvJ`HV{Uq_)*wJ>?&SUd2gK#NOUxoRazpF<#s(gz*b zC#n3(=OuitgLcZ%uIGs(XL$K8x3xFDQ*B~VfTC7h7mfV6!m?7p zMQ*)ktxb2CPWN2vc$0SbR_kz+WH+#Nx=FQrxplBfpnG%W;7p#N`RdO3-9js`Y|_q> zd-v?wtOf7+@;=Ujd*bDkNv_VX%XPYG)^6pRL>9@rH@0ptmx*nM7a~B-D^@@D#_$e> z!uW2{HE_D^Z$Z&Kf62dxD_tD}xZ%0NUvt%b)w)yY;wAfTCRo|@tr2h8rw3I*clT7B z_Rw{lx9AFVTGU&0W%Lnw+A^?83mZ*Ld&OZCgB*>aX+8L;V^7+1-3Nfo8aP}}n>fU- zN!8HizS_E*zgq6*jHw#+1QFcH;%jFdF!T1Ddp<0h@~WP-R#~UmcJ9$WcDBIVHR#!P z#%x1eA9q;;kNd31VOW0{T~Q&znNEhJD8*n(k#Sff ziU-|&Lv6&w+TRMR#zc&@@q2lE?n$B(E2c@IB<;6};yGot0wz}FT`E;*L6KU;5(@h* z6BS88(%N-Njq)j#D&?@sfYo42Z8*v9R-y!UYMBDhG^K{HOzp&dxOJV(!qBcu9z;bQ zBvM>x@_SNAuX5giI{DPFRDdaURi8miBU|%Ci|jh$KaaX{=U}!rHtL)L_*K;s>ZP-d zT4$?DtFlJwrQuJs^W!(VRofEwrJS)Bst>{L+OLWJIlfh*qwv9|;M#y>?gFXW&Iup;&dK(F`sCBh ztjdu&835EPo?B`rR>uu~(Q$BuS63zuTgUYIkbS9FBo}A)L2l`BnA0|T3j@zQuM#c1 z+_9H1^Ct0t?2G4e#Zf!^-6F>|j6uYnLCgVCEWLwWL|(`%C7xNqEp%v@nv*x)DEmEg zV$L3s?<%C-@spOuV<@2M6wbxTo19;OwK=sSQS}g5YPkU}mV-S{*6w!89F#MS+9FRjBDnb4L1JxZS zhBad=VUs*;pEd52TO)NHWvyda7F(Y(?4*T0xH)3)%`-avdF(jngGS!`r)S2wITY)< zr{Dg?v*&or-~x6NkC0!M*hUEx$QE;e{$k@oEK8Qzfklpz?LHVnGq7peaZ{niTK7@V zfoIi{yO#?aYgUCL;H0>{#kRsaI!u_f(m9;KZRjdM6qhMo{0)w|hvQ z;T;NC=io~?_{Vf6>o4nc*fjj`BJcdwI(`W|hyEySXofkf>>6qS7-*&F^b1jQhhFN| zTvG~e>eqNQaff=(b%{1G1Al>YHMQ3>G4s8oyrlC-V?s1=qOH%^vzf#MwkCS?+QgJ; zZr9;CtyYBD_w2g`uNZwgD-`(_+uT}y=ZXiY-QUc0`YDmjUOd`GNIPzP zZT?Z(ZdzK9kLXlv34Q2B`pJDO>yrBPN%#jA{0R_`aBKOoFP`e0@ncDB!}DT)mlL*3 zpC*3t-nPBBea?$rLvh=D^^Vvv3)@lQ-hWZLQT=%{04Vpkc>zA?MZ17G-&IJ8Fx;mx@Bg2*?7#@~(v;GfPh`~_)W+2^7Yu|wE@M7$Qo=UK%jH!sMIo_N2q}@9Ga`EQp+$1Q_im+-L5F zO6+~Q8_FFepxUysZ`-H&Tg3h1(a9uzsvd2xf^X-i?%O+6r;6TDjbe?_BtZS4`k!Z4 z&F_`MN^Gl)mJLm#8UUNdm1-T@B5=c2$5zKgN2_PNqkqHTs@YYea}uE8rr}ldQ4LWe zR%r>2@;;n|Eq9cqXraZU5>jl44gm0IBki z;<8?s`PduGItm|Q@Qm~M2U%1&HNGU=j5=;_6{*u}Ok4P7R)W^>1=b@-TdeYcEwju| z=7+0db#{4{^x^5@@j<-kTgK;?M!ww=dm`YGtviP0BFB^5V!rdq)^HKxQDC9+$Lfn} zf39w&&a|%6nA#Mo>7K-paHs>U9<(029=<*ySyN4ORee>9T?3&0?=TN5=YB6EPs?wDiTMF|F%L|JOs|z~{OAC7n zD+YA;YUHU0(5}!Xsa1(;YS!fE73MV@s`tf*dm@9-bkMfZI;lL@>HA=Rrf-G5Kf0rd zRx~4(g?&RFncl!EFqz+>-=rMjEJ}NHc4T$udu#l%l22F9Ruk+{h@6bbv{INP_^<@R z#X-pabY;dd!7zj#3{<7L9CRUg12Dsv+|*d!@QZr_oW>F>I7Hd*p6?t}%~MB<7fUB7 z@7;m9pWt}(8hF1}X8i9YQx}b$Ds%T>6Q8XA(PfZn?or{#eI?q}$NgKThtio3-f!>B z3c8WIs0jM$bxQZ*lgXjtESlJ2J@lgs565YEUanU(lVotaTgj0C~Sq~vpl zC(E_R-x_+xnK;=l(jGCWlN!lgETnHG7Su}55^E3sVVl|%Yf)CmVkkMy;FgbI8p$U2 zx@0VNuAA*l$;p%&tEE14={FVc67i!XcoP}xC0wJlj5&vy6&%+?FJ|5OBugJ}4tb1HY#img$O+I0Cdd_^GvB~UKA<+k7I`PG^%UR9%6wMgnm+8cyfx17 zpQj&vwJjv67hhlR4_x0X6F+D;Ar>Z!@e(~@)11O^Wop8B@0lFpx)Kk@6W{2tl%!kv zJY*`b{JU~LZtA-zTXYFh0O90^Hk4VUAB{JQ_waXJTZ0#L6J8u&D(!MzL~ zPD2I7X>w9n_iscOFp`v7Jrpr1b&?+Ua2AQ)SpdfOihEx09>t^5*~+a1=)DU8s{XX}CAE-sFz1w!VVj-mCR!nA6X{-iKQm4og ztY*lcq+CMc8$7chU?K#au0xpREPST_?uZvhnKSMb0uMg>Kdvc#nFOzeN4xXR5z0=Q+X{9e$xyE6?+RXOj)EPXvmL&NCe1}x$kolW_ zHcrgF)VVkzi|-><@*P(--zhbcn(M6ooVtX~y<~si1$Bk*vby?_=kDbA*VT1H&e+4& zrsO)5;=$_Jd{4Bq8@A!6hMy5)TEPF5+8xs!!u~cB^Z(~}jqi~df4^|>_Y0Tqs_u_M z2Y{J{N>^kqx(3|>QpVnZ2>VkcO~gK^uO**M8q{u3H?CPT*Da~tYUmf?8m>mUn&%PpX+~Pj zWn2dtpW(k)BV-Da!2VTj)a4WQcfCwQ(vt%667KEOi3RuCm&r^r5;CzrOH+-MMP?&C zMdl!7lNzL_NiBH;lFlRbWDNfsi^lT59br6aM|zg5K*}R4ktUGiNE4y^zmjhVSwa?h zjtItTW6hwh#Y8u*#oZ#e1Y4rTwZ+9P%UV_z=NB6bcC@T%Szpl8vI%utTDBD&E-q}@ zQLv_^r(jRZo|e9r1I3ez8(I#x9Bnz#a=KtsacRr>f)mIu7Hn$SGv`{%)q+_qH}D;8 zx!rQFV13I&n;zr1ZP~@OjBCq|mIH&ymIppO{Xg!`KTfNv+W+VG`~+bb35c12aT;-= zkq9Rw;)~O$!!yq_DG?F#{Fo4lh=_=bNL(bE5D^ji4kIE>M1+Q@gj^y-GD5{)sDwmF zN{EC+gj^&d9PV20eTI2Jx>?=V{o`_8>$BHcd+oLNUVH7m&pFTGOvONRsFicaWOKwD zGU(-Kx4%+FKbVq;(rxSV7=ET#97vkgMQ_i(8jV>lvS$tM}PI~KGN#%)3M%j?GL+fa5f4scH zswjzXjjt6{dM^I5czJSsSNX#D-s-0Keu*0y>G;v|6&e}pM;XJ(@e}2%;-~8tCagq| z$c-FI)ST4(RAXvM zYFTP!YISODYC~#s>qs(EqvI2m5*5`O>-LxunwQ#|dXCr$J$lZ2;b^ywsfnvrFQi^h z?HbZPdQhVywO4x9m^vg;Ar|8OQb+5|Y-y2bI#oVF`Z2S7LUNNtz!q(>9`VNHwrFXz zG|?xyy}UUoGd3|*Ym7&Aw#BL(V1^3v1*Sv&ev z)rs1~uz2Tq zvChEwxVkNgk%{`mn0T+m_{5}Ee*m$%Yb7(c6K9f6GBdt9+5W;vt*D3`QZnNc zpRSb5Pj=J!m+YJDuW2iH}Gw`qbwp_mnTLD3R4`yROEOLo#-(#c?k_xIQo0Bh@`VR9C-vV||y8@2oGZFR9yE zUsk=NzE6E&tshoDvTkwp4tBOX|8HFD zpP=BF8LRCT$A=SA-Xt+>Z zXq3=cp$S5hg{BG36q+qGPiUdgVxeZC6+)|o)`YZPXp_(up>0Cjg?0+<5!xqoQ0R!z zaiLQ}Ew$Ozo=~<>2cgbF-Gz#UdI^;a^%EK(G+5|5p=j8jzG-cpm(~WM>Y+j-(%Q7e zP<2vhw9q)Ai9%C^riZ#MVS8q^m8Tu8G)HK@P@~Wip=ClVO`ob)3#~P6soo&8S!k>A zPxW)At<^7wZSHIQUcF0bZ#V{H(`a5BRqq!%By?2hgwSc@Z%v0-LP1!E_-9D2@g-xs zJ+wR1_*DHhBri<62o(yI2$c!-5vnlpF=U{zOB=VCbV#L%jUm-SwL-(f^O2$dPqn2( z>cd!>X2w%f>J{0LF(!tFj1SXcVIPZ4I%HDVx4~`ckf}m5gc^kA3M~lx&=khN`mn9r z!nQ6=YkR97E=;S!Sg$g%Ib^xegF=r8trOa4&hekb?T{yho(|{8!H|ZBaopPP7ld}0 z@f)%`OkYp)gZBS`&|#rtVSi7C>C!MgW73FY=9k8P>)1vz(_|N>tvc<6@`YqRM|942 zGw~lO4O1E4i1;QV^FK1kr0VmC_#qN8=jcg4Bf~F}%GwYaWzIEj(qp7GWgclAW5aYp zm`)DUX`!CX!-)7KGP}*s#D2^9skWDNQ0UW1;dwY`bgrK3_uom=V@=amKmEP5)xYVf z##>azD<)$Vld*}lH|>dq^+Y9RB081}L!Zi6MP$8p><0WfnMB*-TB#gUAT0F{mMAp+tI1eMC?`k|VrZw4SU8s>bj7nTbA2D^- zglnzNMfIbmHF91fzNwM5x2C*p9@fYjTqAvt%KV7R{HPgh`WTgY5tZ1FO6*4^?xPa( zQHk@Y#CcTWJbKdjfHia3MdqUPA=d5uF^}~y^~EG^W4%osF^Pj%|F&{5Nn=%Q^Dh=L zK8>lp;TNo53yi;F62CRFF2_clUmt5`+ImHLL9E~-@l9;3@t>|8u?c1jV-nl3X>GoW z%`|N}*M`=*WbA8Xy|1~h_Po8SM)tEB*>7~;tdaRsBV%79dsNLhvmVvRK2;<8RE_LY zHM7DpbIhEqnQzvwnnpu9k95zdSrW!cNHxpC`8&_FS$$R`dsofsux-bUyhir0nhnNJ znzAPg)yQ5}Bl}p5>|r&#%$`DDl=g?|A>$)m&uV19s*(MwM)s+w#C9|=`&~5G_$Zne zQkT%bGCtA5Fm9!esO(KqS!1GoOkZ?ujp`mXxvfl8_Nu7tP0?!851nIr&7%8SRQ9Q; z>@U%g;oJ|`Lav1_mX?NVY;Q9Te>at}jnCX>yro(WPzk?S1Km^D-@^y{-G`LKAUHG%_RMB;U3o5T zUE43X{y3M)m_(O{*P{bW%xJvCcA9xfOo+c@VLNpmX$;1sJuzuRY;hQ46T-2XZTcIN z`eQ4My_nP$({#PrU%4(8-^DhiT^A2Fb1fz@7L&M&Z8!5n+o5}GY>%0H#Pp`n-bJpv zTWz<_WA#z&V7PuAF{Eoz?6{G$4#~L3PKE2$UZbOPSl^N1OJUakjXNr9tTmB4D*f)V zRWQ=B3wB%i1;gct%P~rhv2sk1W3n96hP^=t?R{SL#!IhwPMzdR$zTjJd-0nOjw;P+a6;-$1~64 z*436(G{~}g9+R{xq=?WBLc>EoE;LGLtk49Z$zeJz?R=)tY@vA}EfiWT)GV|@XjLfJ z^EE>2g*FLok-E=VR&nM9sbkW=Ma88;z1s-8&0%|`-eO6Mt3qmTU0t}pXNST=g+~id z6rL`!3QrUTMY%MYX~YD;il;Uo@s@e9wM8 zd-W_Al0$5qfExftdk*b6qUQ*)Ikjj~(Ttwcdrs*&vFAAbX7>Bv7v&3k9^clxlyB&D z<{Nrl`FdV=zMEITo1#5@Ik{;6TCQf@9%vvfxa}9X`h$6YgZ8Q{LTZrBH3St4@KkUJG4vYE1VJTlV?Daot%eEe|*0ue9 zap`y~HgNaA0|m1N9?*yObGxiz z`sKzhLV4j=6${Rl_oTe6D$Yu0qvboB#8x-TbQg`zVCawhe9O+~dwtIL`1I$Mf)&=l zHjPflt&W{eb!y4a&i8~m$k8vqU#H{wo%6fr7w7llD96HLsX5DezbqFo7i+`cY1gFxR_DTYTyW@Hw|v{x;>)adFf;g$ z2J?cutgPVf;BG4?SQIR>a)ZUeeO9|*Y4AN6#}692w!PXu!gx=S>lUlyybnfKcNUV> z?&@NpUWU5OHZo0Hsq4u$y1JayzB+2fIwm{L5t`L;R@Z})7N6sbIiJ;f-gS1!r&0cN z(V3O5XVR`!ZRcGhLVe{dNqUY1k0|zkxy_#HrPGD=onKd48-?$`>jK?#dFkb)zUSK7 z(|@n%Oc7}-?KN!|N_K7Tm=xV)$Cbj%x#T6gt}rPvahJ15#`Iq2UYX(dIrmxF!EM29 z5&;cCgG9g`!5tC-3xY)8mQ8-ymXq80Lg5JvKw`@nFO z<8P-})A(iFwysOl>uZhCuWFvtlPf;weu-j7Rv+r8wnA#tzb%qUbX!EXM|1~7cLvd& zMRaEq-8mB7ORaWT(mq)9!KV)&p>F-IthU_LT|^tF(rR0-Zd+xJ6%SjUu4h_pTh*cL zpK00O>+8kaZOdJP@%KvV?tyH2*zS#KvTdQi)AiPc?H(7({*^d6L!4Z^?Ey9{Y}nXv zu;F4OQ%e8U(!bl|zrS|u=VYD{`|bam(OY1xO&h(Q$ktiSX;EinV?#fr%jSiCXqElz zUicvW_*eXNF)!$sQD0!!@1|c)%9Zq~LDiqYTHg{OgQQ^vjGx;Os zsLvfHT)iA4(W%WH1CE!YK0L}e&bQcV&*Z43bUT%DR*7~QXlfQq+Lz$=;CAVAQ_v)R zzCXBMWFL4Bl2PACpSknQk^Lnn(~9NHkz;;Nqu`R9WrB@4D}`1gS(~#VXS3j1Icq$Z zZk3$pIF@j($1aiXB1ezaX%^e;t;LqH)M{sM&i{P&)iB_eYPZGORMKU#K2H21@mmbaumiz;IhR)PoQf_rP1B>ir(@F7Uqh@%NmBy}GGtM`Pqw?9 zC#$j}BJ<9Z4YVue?AUp-e)a%4+k2j@x80YWDP2}#m$5UY%evd@`(@|JbaZW5yVGU* zci(i^PM3Lhww#Slmsw|QCvCSdvJ=+nwB5qUj#$Ujb_*jrU>#12iOYy{9e<0p+h*-{ zSi48_4zS^%nE@{&aOzWFK-G8$!-|A{*pOc=qcKI^)?YmpCcH`QO^IuSG zH{CxF^ay(R>)MSAy0x1X^pKo&ayF&iETK6#5AeQ(psm1y+#hP2;M?m{71 z!*ZL&1Jy#gZRBkh+A90=h};q0M#bE5-VVRL-`-oEJHpS*o#?lhoaJ&hI(MSb6rs_g zH9B{?3`P*uoxYojt5fwE>R zmVGq)gnL8w3Bmr(F~MfHe@?YK)-83LvrpvIy2IV(oIoWx!-PhLNY4ZeU05KTQuX&xntZ_Q+3PPXzWws1@Zx6?VExDTh z1G|adx7m!NuEX2T_CL2S>pvM~?h4Iy(q?d-UL%Q~1&&somM2BtgudC;*|Y6o;1(oi z_hXL^cX_kFn%&s!=j_5~*MRkCa^2vLQPjv0-a$Sa+yzb|x0&1?NEU!66ul_&=fFcq z=8(IDQU^f(GL2jvVd3mfl`GmO;77o(0DCLC4*Vo?o54*;HjrD5WG46^*oeFqoCqEQ z8$jyvIw)F&{$}0(z;&M9V>d|;YjIP89z8%6ldLR|U6v4g8%Wi4Z^EJEZ7KU;NSFHkAh-Ro)$}=zZRot7Ly8 zlA9GXCMgDmzN|C8<*gU|w$|&dQQo`3m%9Z2Q&@XQ>lJ-L|5xBGwB*;c?I|}YxKyr% z#s3l`wl_onev>;*dG}duiPz2Tr#*6I9@zV|FZQd7?hh25uln<~*KUpa8Qkv5OmR1& zbGb^KpBYUgcPaWE;OoE!FiY|5I3n<|v)e`gp|kG@euD^nQ+ewh_&UwC--Ev%&D)G7 zyrsPTFm{&0H-bxB;$mR~@=;h=3UWVUmtkMOHeuV$JEx1KEkDE)oll*u6TYfN+ZiAk zc~-6joryd_JErK(Q*`yOBumU&KN7s7MW0r^g8f_d`MuRb?wgACD7E8uMq-}Cjnt=M z_EqRVZB_jb&kOz*J{HHGvN!x7e-%7C*?X9xL zh{U;GR|fqqioMmjLf0Qp?mgsgL;o*Si~Vo961vh0yUKY+%I>p&jRk{mfz4PG9qX?u zx96)|EF2+sxB632_OItu;uNWG4R1dtrOw*g_b)m!BkWh~lUR5Fo$u?K=DddHk43Yq z^R&(g{kv>#gU(<7PS0U}H88Upm|0UqQo`)`Dwe_$yrLo%<2~vfE2Yz5`!M z%zshQyOg;wpO}BZ#Js;waKEq5%_iuYCb)+6M7=cC8_rs1up7DMpplfb9x6YZvf|k+vJ`?ah`arIQYEqJAA$GIHnRo z{TofE{bT4H1b?j<4AZ@jvd4m7>HZj8hWsno(Vcm(zYP7KAzy;zm*}@3SpX){(ONbu zo@rqRTp6OwptCc;l{$k2^TEEzEzn5hPW#M?7THJ6PS#o3az^p&IIt3og2T~?wk#Jc zIV;{i+d*f&=qQ#@_H{+s(KPB_V7870H-y&!%I^bLu#%htGeMr8I5WX2ba+bQl)^{A z3hZz{Ev?a=m*+N4ACS95Sz%P(5XuX=Lg>X+L@&x3EaWPp7uO7mTk*+ckiA~|Ao$*c z)X3d>O9Pr66vYc-p=GtA=%{2dc!-GYuRE_?mz8Stg4-1(qD=ITB$XPMv_ za`oky4+cM!N9YygLXV-%fI_fhDE+%&=yn{}&Hv`SnY8n1P_!00^6zzQ~u}>g>S<#(nN|{{vJl)fs zP4EL0-7)00D0yE^uu5AV^wxHIS^jFGORpq5 z1WyRoW3!x5Sg#UiuIkIUTt&>No%Psh!~;`;cJz++(09lG4W86C%rzD$`vvX0*A2-Y zY>o-XF;jO&da;^P*Asy+;H5jc4$sBUBd}k?HTGY?cfbe9&ElHe3K(&no9;6D*n?7? z(0m&lp)GM6k(XhAGMXK=te1=YklK{dVU8eKtD4T^Dz{IOJCRWyMD9*3 z6TwA_?j7C>GQO87?*;G+kbe`L1kMg;f%bH)866^jDG@$KBV2f$UlW-H>zM_U$#s}t zuQR`nnpKFoGlG?5BBcf~FIF21!E4NVwbP)y+XKnV%*ScWwJP23+~w3eJgir1*-0&H zDLXBk-O8649kZea8*~kXZw&cN^fQ^=>VcI=7E|`Pk?VV8(kjItGNZ?y(HY~Bch=GL>9spm+v8n| z{iApzhPC~Ag^;n;*S~Q*LeaYs&#%D4S22G}D0K>t)sg!f@Nwi1E834S3UTC%>C4S# z9cGotBtH95?_%Cj?(kaGwA+sO}ew9)WVL8fv+99}{b@+02jeIO9f4fGS za~*#BEM*sXi-f;P?}@xm2iG!NHTLerpB|dmDsL@w=WDS1y)plFSXBB z9kb@{uL&v;JDW>hL4d{*mnUS|#O zfaFGB$LLXZv{{;)aWC>k*c|8Hp}n9DPpYQBMn}zmgBD&(%b(D8W~`)N2k_@cE$ckv zUnAI}KJo9tT9n=7QSASeb}m+%!PT_$CgP_n{;XkSpVc0@hZVg+M9Uy;kGmQ9TgYG0 zQo$|kK0imYpWJ3}GLm^4N%+5+%n^ksu9>!hEBooiXy zzO3GswXs65mf1ayRxLoMKV{31yh|G_Z=XnB!~P%X(ap5;8b$Xh&Gl}i)FIlrNUs6B zC93cI)O$|kE%f)ewj>y${*-$wDI1KTr#rDZn~|N#2wv^}LF6_wVhXvF$^9(Rdl|7Y zJ8ZLH#vbO~^JcYSUeq&3^1&C0oG9zh4EP!5nx9fnqqCQ}xfBci;C~;i&~?MVj;lnS zryH^GZFC-Ct@s_9-w19Nek7LraeeX`-3z?WtN(LYA+y%1X2u^Bv#w!*J<-J z=uG6UX+x z(=1=cFM4t%rG=lRbrG#7wdz)9e2a0$2qn-=Ae=vAAI*%xCjmFx5(3;{3`q)D5L7u8@NAhKQk)rH$T4;P; zgyco=DJ0i`6OlX!zX7}i$s+Jdta*5B75rQ1bbHwI_)E-Oqtun^PdhL@Le8^U+i2cse$6r7 zFp-1KVdXtaxr^X^exot%bQy&`yLjVq#b7kKyIFr`Ax~1v zHfm=UTf0KJwqXLe4#{spek-(Bfj_3~^Rz9ASI45`Q0gq!en@QeKz`yZzmX}*ShJ47 z_tswz#e!lTmTxz9;6F)gnMd|7%?eLztISG{W(BxFEjS+hzoYZC?!3+$`iqQLpV2J% zGe!Rg;19t|z%PO?C8@VlrSB1VoxgU0w;ejEADHktgGDaD!dl^ROHIP-#H+^AcbBKJ0_0u~7P627Z zXV!4`6n7D2p9WtB%^JcE;+dIf+CWtM_rkl_XAg2)kTZe~JCyfX(CimPuQwf@71F`J zHCp#OE5#cKmP%w-?j;!KH|Qqw`!cv%e_?Oox9^rG!HM7*G(X4QYK1zzc;l`Ynu_xk z?dfQmH_5L92ZnaGS^B1qO8SD?<~=%oWf-AvmkCya8+9Mldv<>~_>7{nio5u`6uoaM z21q=M+^fkgK=P)(M5s&q*to$DDYRjJGY*z-}|_Ny-m?W;^dNhExA`H z`UZ{WVRGLEe?Tepop#_VgyNCj9g8HvDVgW0dWS z{1))T)be$3EfPFz{Q>|Z+UkJLRmlGgb_9om)5$G?FQa!|z_DOwa&IRW&%2C^ zOWPcDun~ z$fJ4>=#?OuMya1*rxwX#qY3syK7hBR_H)m>mitEw3%kwRT-?VU=B=$HrK-)FROsi0 zZ)g_^|05|s^4ym7M3>@G?&9B4-rl9?I=ruY zp0C*j!lf$e^qzhVNoPo zAMWYtCWFY3L_l&5Jp@4%B?ux}KtRPn4iZNM0RxPR7*JP&7(hT#R6tNv6qRchL_w0S z5fMd6B8Z5Iuezq4Wp~~5$G!V}kI#0Us;;T<*6H7zX}W7Y;1~|UvyE_^YVho0$R~~L z5m`muBZ`Lig`(ko7;?V#aK2OFu?_Zq3EJ;reK9z9Nx0&TaI`V-vo4U>I@sENIQI>3 z)*Io`7nVbxr!9d5qTtxJz@s1Zkc*rr4`E+BVK0erJl{Cc5p|ad`ZHX+V%W=TxPm!U zkL1}#xXQ7d=aym3hhrb$^+MV|!S#jDLSxVy>00npi(&8eaD?6PSPy&egc<^)G7QcO zhwnSkAYnS^smMBLOHx-NM?HQ5Q8&Eyd7nW)7lB75&e&j&-sF*&kE0B5U3g%>Z(#|1 zj|0<#Hs{TY>~C4{K9~<&JI<`_pih!_z0Sf>ttPK!gbhp9aPH%gPmj|T$mhvXS=fF% zI*p{qd7pxF7kx|xdJ#E>U9e6WoY5J0bc5?#32XX6djKBAIVuDqR&xI`%s+t@j>rVF z0+%ByA%T7@gb4SIjYMXWJ1>LicnloATeNXD3U?>?yU%wBgIHLat6%x267i^L!Kg?NH6jp`2s?BA?z_i z$C|Ka>#h$^m4QmH!Gq9e+v>E(HBGVS^1x#D9PMEe~FA)@^uRyK^)b4_5 zGxi#$E!Z2Fwqo5dZNqv%?G~&TpyB!UZah~PpT zBDgR~1Q+2D!6$Ht;G!HN_(Tp7T#Q2mXZ!_`Y$OjkjFkNm5nP-@1ef3t!6i9Fa48NE zT$)1!pF|SDWjI9e$sCWtWhpXp6d8Gn%oNgNa0QBwBE?6E;-XA(QK5*aQbg1!BI*OwAiy~t|k+FmxV@2_?rufXJ_}EZf z9LSmCwiK5+6c;;+%Up_!J%`IbCeq6#;z$v3qKG(CL|iB$t`w1Zkcb;a#GNAIK@pix z5%HvmctIlG6p;lKk%bhIMHCSqipXL}WC=xNDMe%%MZ}l#7(a@PKlGRYicBCyCWs;v z%ppVW*GY~Wew*$B>Mw*u0@)|OS7Z@2gaEu|BhH97zdum-P&}S4$sa&jQv89?S_R62 zC`*bz7+A|;y%5Th;tz!uSuc#Tq~KmIDu^D?dMohl;)yH+sve81Mbh|}b9)Ns_7uVG zDU#b$6t|~nZcj1Xo><>|;!grr1Re^?R#TP~{~Bm52W4w1>(55Cf;*yE?ub@$M-;~$ zQ9O4m5hZg+l)@bmn>!+)tu#Fvh>1`clzehe8722*pwW_%NxvT@ zvKKi7{I?2e0-pPkM(!9PNmHO{(Tr#_X?C*cbYc+kh&^y4&f!1BF z)xfnHxz;^sp&&L8Ldj3(pfA8N8=h&%gElKceL8xP+=Gyeuh2~R);77*9T{!$ zf;Mm%cY^N>bT7IWkwf>R`w@B2Mh!9rzKcBzzKd-^MQ(g>v|XU35;Ceo^Wk20bflHf zn9t~U@f;24A&9jA2OSV|T2SkH8;+x%yFQ)d{t?i6$+cd6x9H?fikW{pF9gPwG)Msa zCvy{|;;uiYYV$8Aq{9Il5yITj(mG`@VW&CL_|dfFy#qZvP6I( z;S}o6idqpB6suqz#fpw%`NoEVPbd;o$n$iD^e^WjD?luZG z?#^abrZ(2PhJ>Y&t_jn~h^a~_b7my_!x^~;vf@Jh0|_=wX*^*Xh$Y#y2?!_`K-u62 z%S##FQh{upmNP~Hw~Z7AFJRv6t*7}PyR}3g=St z)k}K#KL)~mMmu|N*u1RXBA|NgZ9&qe2k)YT?eBy=esu!>$-EDb?y+n*UZEOPeZ26j z^yn(as+D)P8v3m13%=Gz|Ef{qz3g(*#pHCu3|~LKiXHRB0(V%hz1FJwMmNZ^+1lvS z?v#&THWlNfFwiuVeu4KIvN_IGDoa%4BI$rf~3r{5sDSjHykIQnj>3SxWt^8BXtEGwl{ zvqP6MTxlq|snbC=jUN!f2|0jNP6U(0#Fsi)Jrz1oI8{=(#{9Wy_?a%6>yk~6g(!Y~v+#7Vi zb;+nUs2cP)|~Jne?DNA`Vj}e8ozOwjWeGWB1a1(8l?C)n;|k+@miV zl;0$Tmt};Q$qX^BiJwU{%d>pA$1|_7xm_}QC(H2!ZA69V^Caz@lk@8n54_%Gww#%i zJS39mwe{>P{LD1fy}Q|(Q@5Iym2H(N>F?^*t8vgP;x$egVkmT1+Gpg|>|gJ>u2e$b zM>9q+GuZSCQ4`oTJnd<>Qs1U+$4^c_O}kRs{$;zWgpksu144arK*oB3jJ1rDvGR#0 z1hzI#Kkx*~aQSa#EaAT*7RrPo=c1Pxe?A~k!96rM60|x_$e0F31_p+PhSNAgX2i`B zDeM0iLPk}m|3US?6sFfFdz(Quz-_Q+z*sqKcZyk-^6lQ8lq zYN*_LbXKv+b6wXyV@chraIJu1$FUc*sdK*Sv%^y8!$*xDXL{v+c4!e{KQi4R_U%PmVr*K#bH8h`UbE-s zb^BYd)i*S+yb1(9r2&4sY_<2Kh^yMp*`y zgTy@Y^h6Bk#UWc__HWk*cnF!r8Cr%+<7vj)MnS$ogr5mh*Ehh>MAyjI*HG7YD#)5l z_46l;4GfKpd;@+ZfVU^Uu4}54^rqFC=ov~%o^#wQFop2s2%rlABrqRHV5-eO5|R!FC|QM4Vk(I1e1UgxNw{VIuk$y$4TJKzbAoz(01&P^p1HyQcKLsFr_v3M$f2O z%WNmUy#6K6!WNxPXh8R0hzrTvS+HO5>bk;h@0`wOP5Ug|{(Rs2#VQjfr>9Ant$tK` z_f&28`Dr>fYg8tBs1d@#V{^Lcye~V07V9MC${iK`BwHRk=n}d-@2JX#hke=k&sH3I z8zxiY;FtGq^?$_I+1+tEIeT5}g+NQOvWAV)4 zl{a4n`7f()-Me8^x(YG!)~L~6uEHwVUc{lsOyupEEVCn~ovOR|4yOfyunoTe^zINx z?*x1e)ns6_#{8|!ErseKz`sjvbH<=f0Bw>a9e`&}BBXv*$j@CDrY@n)(FK)Xbipku z3Wx((sL-HLf8W?Z1DPpbgd?%IJ`PDd+mERV(R6gEj{YF#C#ubZj;4R+qFw=nXc#58J!kH14~m>L+Pf8vw6OO`OZ59raT*coITkZTDZYkhx;!6 zT}`@v?`+JwO8Afuwgq|j7Hv~=f1P;De$ckTWQJ*8WxP+3R9^b+i90-JTrS~XN^kzI zU`qgzg~S9>85lI$7={yckc?BWA1VncR&o+fqB_cRN*HYq_+#XQETm>mH`d7O%w{*yqC zykM+3t^QuWP#Use+RSxowkLX`EGi66hxLf)M;6Z==~=q6&vCl$ed|)eZ@1s*G7l=( zuXfEzR$N?SuJ3rhu-J3|i|FdA%8v=B=dwm-c3ZBidoCmudb?=9g6=0l*K3}4bYIvv zU5M@~7A~Y0dA3(=aqt?eUE=*Z-i8|-lYxUHb> zHKXV!=UbDTcCfOvVj}j*e3Tt+Z?-MA`S z+Nai7a_N%{xw+eQFO?e2mhBgnkU9#yIr{wQI@@aAWpe_TSvZ$l^*Z;Ric5GP zV8kCGo6MZjA>#4mWx?l{b3{u6a>iUG^;Xy5iisUrmg=EbGj?Rvra#!HSSIYVf1t1| zHDtX|m~M64azrk>bWk$sgXDUZb7>7>#dDbYdmq1uF~5(j^_z3=Zdz@X^d}Kk`sIV> zCs2#9vCw_l9iqjel_suyZCA|+HV-e*@3-IeTQbB5>bLB_q2GiFVMGAMHZ~;4VAOyK zv*4#T$o_jj_y0=04-|w~JbPrfOKbIVy-Ck6cD%U0XP&Za>D?#N&MFi7?;W}4SQ<+x zOzh!p^~jQ#n>pEPS6Pk^q4p42{yOPm?`GZ!BO+MNz~=fXw+&S`mK1&X|wZy9b!KxXrj7iQ1R~0W}X!L!qE*! zCe9J(XCK(mH-FVP+Fm(VzKw_|VcU21sj_YLHC>MbC*)UIGUMy_J)6EhqrewEEhk*@ zWn|w;+Fd0FkFinw>JixqX!oN~)(edgHN)RF?ha;Z4rJ|Ug&LS1%~UMCy5pvUezbO_sTw(qotNquNvIi z*gKup-*IV&0Dddou4BMm;)%=gU7cO&VXeuRUu6#R=x?OI`AJJfDf;t=FP*FQ=n0ST zc0^y0cFx_tT!58~y#;g~yV5QgCowZKGc$9{F*7qWGc((X8Mm34*^Zf+nHjg4Vvmz^ z&wKZOGqYyorB-#RR4RQXm3yt-Eh#HASo>CA+_@92`|#C~I5tv^ooppCVpN!}3^I;4 zs0TE)=8X@sK`{Wd5wF(y?7F^}4!WPVo(`-?!Y$ltsS%qc&h1PnoROcDs)9b# zMY8asOkx7;>4shsDt#7@2IijK0acE9%)OF@oQ+=M#hhfBM@3JKc3Fjpvh7j26)j$U zDEEF_(wQevziN{r0}qktrP{(qIpd9#o$2ywfb+yrbN$hKYM&c0}mv;CyimOFl3~NM`9WhsEs|i zZw82-?jbvpb#)eJ%|(l$Xrrv0w%&s ztyz4uKElYYn_5k0C&?FN+RL7a%vCZc@z~-l9Ymdd_p47rll{!FNq|T?pRLsJ#Sm_v z82&9?6iPr*_2gZOy6ZE~<+MRyKd^RIe$b(g)seNQ_S^FCKh_e zA-g1}eYOn<5aYTbcxZeRrS(U*#b_X%iQtZXax^+u(8m`_d8hbb7idw77t1`8Ds6tz z76?{K`^l_3`nB?qax91*`}e-IP>(=el7Xi^gKr+~l2C8g$*5RI8J=LKGFxlLhG|UF zu#f?2kKD0RHyq^DULFfn_wz;ATJTC@G%XHW1Gcwmw~3KdUtTLDBDKUU4`XO7Uln|S znzy<2`glod`t;||yTw1L^T3!0xeGJ1G74&~2{=WmMTvsh%{}E}+dRkQnv9L)Rt90< zBkLR@UC)0|9L-RdW2?MSl-f`Y0=5d3uM}?*E!**>78<~<5czv}mS;*eepq#hziykq zu+u&KESSVXSFXfxZ(A!4a9eyDqr2OPHgFDw7U5=zD%0DLD11a zZ{ule6&KdRXTafo|7$Wman@XOL$%acmY2#p$(zm_tjlG5fgDbz?X}_M0bv7Vxy{gP zqR_p8-FEe2f91VrFU|XV=r@OAt0kbSXO@zP)i_`y&&_5w+W9;2&^rhmn9?yUl(CJG zqmzTNzV%Qc$KSgTikVH5fQ^yypUlQi zz|O?EEGz_UZ0vtHAMH8*TEP0p=>OG*^~3T%+Wz*$%Jw12 z_EFFJ5B1U02mcT6pWgpU{n7bH`cL`a)_>3+lI$On|JMBJuX_%HW= zYW%mCf5G~r7|TqOr6XKm|0o)_+X*_T?uX(!3v`mfdVM&FQ|1^=z^wRBp`@L(ObFj7B3(s z2We2w3DY#MFEI~floEuPa-3^7%^ZW$k>yk4Lu;>8LDt$9Cx-P@Vf_o_;< zI`9yBjW(X(E=G)+W8}~}t#jIst-*8Sh%(m{MW5VXoi*Ev`kUYS^LiH)^zYYP`euXj zGEGV#6=pYz(ks7fH2l&^poKC%9Os}dKZpf#-u?P&ATv57(>m`*dm#8OnaWa_IS_6AV!BEf> zK%5Q?(-YZ9KnYewd}SjN4{!9*Yvr~LI6L>Al|!KIvc(E9YVDg;&`PCyPc;!<-I@#& zPY?+@qH~cHrjDT~N?)EBg@^?YV;bAz6HD0Ty}GiPZh>^vr)P~9Gqmcho>!}AQHl?N zR9<;=oak7NC$ml~^hBBgT~WvmuC1SO0;Mq)(=;|;MnwFoC15Hb(iicf7ae2YUhOTutib;Uz!5&!NPnW8*`+X$QIOgfttwE}e$V`<*&S6K zV{`$>zv9!wWbs;C%E7etbqD#80+Iq3gR@$;)s{bhDZhbVk`N+~+B?Y0A))&1nF3d& zNO@r)8o*%6JVlEa)?t3KHt=L$mYn4OqyzTIt~jZ?$6D^Y3AON`^ULlb>WTTV7PCng zIti#M(Bx^d+w&wI6n-o3!(jsGa2s$2|9D%08WSpkWh{;Dp+_*by#O3)5hS#AGHrvy z`W?5)SNN_)jn)@Uq%VUEKJSVQ%5*HP?WpIR|7u^!1$WECe&QDNupW(yQ?E?vY2S1* zeTBox?6+t&v_#g;T}w3jL@cM#hV0X3q0=R2v||zfz1J>Tbs44EyUSa9a3sp%^S+)d zj>_yIeG1?E6TZhLM3N)2UZc>tr?&p~izhuE>$1*uCgU&!>VsS3W?8G}geA>y_w#Ax zB%c8XaSLuluof^~OH>(l{>Ph@tWw(F#po~`cw$PJv*;L-Pa(5Q4jfa^yXGmjIS!l! z-)HPPak(&@n~tG=4l=R2Han4#!F! zq@iMYS!sT<8tlaXbj-AF*HG}|?u&XU)%YqLCG6qIVeNF-XPl9`SHY#B-y~R1VeRmZ z4+>wMiYUvt2B(2ImHsOH1qIRv)9HqG~;&_hHp>TUD~0B+4h%DwzGIa`|qaO zF@l+~@6isRU;mHfBbn04z%Zb3ca8|=-RI-TAy}kPiRV3p4z2vL^#~=nG4=N|RCw># z9i0o|r3i!BtpG{i0HTcQbZ@WkhrhbI>21D<5wT%ecMYo)`~8!Cy}OA2NP)^EVVuA~ za@N&w*%8b8OdK^LpQ5Lrp}(;78M^*(+=M94k~aT1vY4p51{5^*vaAt-w;g>YVR#W&EZ0Y0~|wrUz$cH(h*SsD?p&YuqI#>^)mDjkb^;GBtSPt9HQ*B8`Vj8(KgKfWl9WWA2SOeJiM=tA>54(h|U2WAAXqa|7gX6G}(`` z@0bx-(s#p<>BeV5k+rQAjjl%q{5D3UlMi%Hk@n)7P~htaO%d`UoFLPQYUz`-g9D1B z6nOJr4okLZhk;J~()=|tjK2S=FWI~u2OU8-20Age=5u6t)s!1)5`u1c zWJ2sz(8p~LeweC0C2(F%)C(3E+KiTrpc^U~UNvak$BuA07_BDbg-l1@iAf!1+l|`S zWKd8G@7t5uSH{{2E8W**hzi7Q)_D5lyWj>}Nvach8g@yt5!q(P3tVsZf5cl8_o^Cr z*e2WeUW-i+$NyE)cgpex{g&Sz3T}@L^jMR5{V@Q)3%9S$6TpvbJH-*k+Be=D&k@v? z;94!eE8U#_D8DAuj`@IOOX!15+Z^jgjYr@kwQKzd4RqltZVw);0X#xRyKWKgWV)q1 zO7P^ihZnK~JbViJ9q=>a zH^i6l_X42oQ`{u6XQb(=89R}`K0EX=~N##@}G4$UxO%GTe( zfOQDcV-GDb519mZ3y1=&2-LkzHLGrl6k4Nm~z~ZR=3#3z)0K zM%qFCD2<_GSn5M^%<%=rjLuqdu)Sss7_eM<@*(n#Sg@i;ceOu_U~!YyYoMz#vkIf+ zP@{hvU;b6eB5MN$@lVPav*TdVoSef0{vDorxe>1VoMzoP?y8eMMs!+*semMcmbBsl^aG4WJK(jI#{2ENO z#-w`gJu5~d+~uK}h>vwaQ@(7)f_-OFhiB5!Yrs_22mobVL2k1SGk4@(^*}7mt+~t4 zj)c&Z{SRn&$VP ze<#`|x`Kih1BMiCY$KKwV!FP*CxuL&Tgq^9*y9p%R&aE9&48LTqYJS?6rHCO3=LXd zrcniMlD1d+>eIg4Upp0A(HKSZXd_&ip#SymoVcXp2SjI4%+mnAJo6i|5t@ zTopT{XdY=LZ;x4c^A%=eD2veeen_HMiQQn+`E5h*c9?yi8jd{~hg5hCTIB@Qh+)U98!bMG41Fw;!&40sDoy%`_bx($f0CU7F*7qP zS$xBX%i~#h$eOM;RO3V`!w9qBDK9>T?97EL6FPs))N3x|$e9@y&e$ftFkKV*;c;%U zBB~DqO{!??ED;@9R=8{#|6L}poj>At1N(REn#9x$h}e32?&V6qQyv=?7sM4L0@my$ z*kF=Jj~xb@*HoIz`I<%x-nik|hq-XiiH@yp4wHBPteCfvV~swnXf`nvW5|E~Gd46i z={Jv+zJ{mYAZ8U3OyA!E6 z#%jAo4~B=yq4vMGiuf!NHJa7;|F$Uo_Zeq6HXy)i$;!Uu9I<%FDx3SW2rec=Bo^*`(Oms8^(OW9a@*6e1=iWh7;!BVCU{@s#oS z4y8rs$u&&ovKE=Ve&FJR=nzzDcE1wQidG}`F;)NDZH80Xfa+E4jeCeT=A9l>w@XRp zxmw39GF{!hM_I2+2@MSgjaugMQ-L(%&GaO&rqylI<-HhB;KyV@cL%D9{8bF*OuG{% zn*O4y`0vA{jE|Dit%#MC!eCxS4J0BuUvILOr^Q@4Oap*I@Dh)l4|=si)1&D&$R<_B z$q~)iz>SnWjp&tMgtmL_P&rO1tE?MxzTnO}~BUaLjQsjmL99QS{ef4rQch0}Jm@^|6J<^EY? zm1gxKz<2h4pMPa6qHs0Al1FDhA`CU_4qM|@Ao6ckD*t4!qTKwe550~KOpYI?3|+4e z*(V%=RqEL37(PXpOrpXA)y5w34M_a3hH(8-AT-PQDc_?VdDpd}i`MBBvO(^vBp zQHhk?|5`jeZ8rKiuk>&DipQTVj22K(xc9pcBhmdcF-TR<;aVbchRAezL*kCvx7-L( zQ1(#wo}j?;a|xXH`~p53nHIqjEVrMz{LHbo(fPahcHXn}H5Q3VK9s-xZ{?ey`HjS= zQm{;^-}ZJ*h8}TFGF^18Nwcx9<)fHjx*6uO(efD3>KO44w?ET#XR3vUP6x09F=MLv ztZHw?Y}<1MI+W6i_RDNW+2gKR4dBq^I{V-0vaW$uK7~|SREj@yw5rOL=u|J1ZTKAy z-&nVw-(`Q@noW*z6b)oi2xya>t^~S>^?jk)K9sJv?!n`nTk*OT72FP|4=pJ##ba_> zDq-zqG}tSiHXJZ`H7{D+hLK8HB4n-`&s;2tNXEf$K2X&p4$hpaf(izTF1hO#yff$F zQedM~;Cg+R0A~Atd44$6RGTVtt$GExw{Y^dnwlD$JhnZx18(c*687?iT?42$Fwsw| z2bapx>W0mxPL0Bu_mrQ?u-m)KL$WjW@ww`Yu)`VFl?$l*LBAcEF~kuq!YL z#4*eKfC(b~avnFfO)Drd$zz+iiM^(M{Lom_J6)xLgQ0+Mk!zg4!v34KoJG0nXxMmx19jj9DL4{$Kt4}p?nT6| zqGEnwY2UIA>(ZQ4FuU8~QR}XvH`U@|Jlx1Aa~XD8X~~hY1v%M$Zbb3CYC4k5oRe=N z)~sC;yV-T}iz#d7yuj|yHI%-T{!Tdu2fead{9)ydLVIT)-D;M8-A%RB6`a^Y#z=ND z&~}NRl)N`-xHa1wRxveA?&XcUytAvbELmig&lUsquH=fAd-$St1V@=C1!;3nC4^s; z()d0}D}w*P$N|V#Zn0p6EDI`%2*n#B^mEU>3ThYjURpoHv7EgH>WU7qH1;1c<8BJx zmB#0J`^Y~t`ZBPyr^M$?KnsDwlFZvzqg^aAU%sMsPV#SVlZLj!=bcb!{?68!JLJpa zDphUx$`Jgy+c@vEN1whhKM3I{Q4@a;)?#GBkg^hJF;Z(tdA^MO%Hqv#e%MNFHfHf& z$BmSsTn7fK-cv;)3tzMtb+;JBmp7p~KR30|#JWrLZTlAF{9{+h?7Cc;@7vaV03!1e z7G&~z=rVsUOSy@B{tmYIq6Um87Ssleg9=jqw6b+~t*0Oq>L4 zOu85dF{Q~B68YeL8Xe|~s6sMFVGUMVZfWUxA6sWdAw|Q;TC0f7(<^rC#74>0^aE8^ z9PDYd{hBg`fcdhM62!VCg0u>p{c)d02Pa3r3LH<-brtZ6XX?TEV2)i>*!{b4%mkID zc}eIBw9!?=`5&sVIU&|sTI)~kL=Em>6gFPjWe7zQ7t2QT zh1kzT&Oqn*;3c%=7fq$de8P2|LChBlHJ*_vFEIRq>v{AeF>_`jT3H#?AI-t>hLwG; zL#$hcl`tVg`Kq}-oBuY7vmUy$93FIW5s!Zceiw=z^L1JwZOw&w%nzo1~@d5!b zvbwSbVy?d&mwFdH8{OXye%_z?*s^z7Je;?&pKa7=ZghCMo_jXDmaeQ?;#YdNt5jB; zcPZ(V?n*u>gs+k|imi})lTyZcRn)7j5S;(m`#ED|4yad(TfBz#0^jW2x9h=^fFswU z9>yz4R-%ed*eftTo`Jz?aL1BeaLXSkz_&_G za`dB^{$4@%te=OrKB-FpNH2%K9M0>MFvFM%mwH(+34QAh@Sp|wGp1m8r*>E9w8ccS zf{hqFK{bLERM#l7$jGHm_8RxuBrfODCNFn(jJdoSH!@*gim3pi$t`F~#;{mjz}7Gu zUzn}fO_%7#tH(#HGgI};CaRrB<(OfKzf2DpDw)Vyc*PE&gU!rXZx*e-rP{nutT(c+ z-z&79w>z!AI<4M1t)@7wvf;(Pk+_=vn%TT}^wpevYpj23M7vlqs;cj;k8YEbh>-l& zU=Bdzqfj~}Eb=X88?5^{&^c)g1%49V-R3qS)eVn_CcdX-41RB`_1$fKb&*iR@!lE4{D$}ha?Z*+;M_{HnWT|)FI56- z*<{9zG+2m2fwjg2rDk;qHYB1^z7jSk_fCoMo*`Xwp)!8dHQa0IT%wC_{1su5a{*0t{l)VvSyd|8WBn7*P0_UZag$`OKAPb-L(02{L92Zx zp-}YlkpPe^G=9|nG;R{5Rp|1VKhUVba zMLlqb`*|JMxefR|SLoe98x_oR8EVr4H3{KajcCGD-j24SgXEv; z`K&o{zqY%2-qNNE-A1eTuqGm{DXraAx(T8orC~(%gtYl-Qi1iwWKtx!mp4**cpTtp zS;u=QCxT&|Chu8lT6+0iR%jbz60?7Gj!*zMvHF)Im-65c-d$7?TMSGxTf13wQ6auL zoO=jrlU+lcP^7D8sTU^zY9<*|{3>BF2ACjk`xUC{7KVmvHU9GSd^m3{ryrno0@t9h zZXRb+#tP{^@US@{8|vR7F^KB#)e9ct9Ksqh3lj+I4ch_B3!@$)6}X6C8^z2O#VA@f zZN-9hC%QZo%`nhJ@$$v}q^jMmL&*8IcBJ;e)h=-!KjJDw($U%rOsur8y6d0y{7>X(PAmhK4Lgue8m|+*M3nbce1cEr7Og*` zg7G{CE5KN3AzsZ^I%>S&=)1Cqv6>ZBdRfGDMtW|zvYA1BgqEN|J&#sSI8frM*Z_rJ z0yR$_ZWPu0grQhE6R3h>?jSOt$;z1;90{u@r)+$aEtsbC?1MH11)G1l2-Q&fZM?sq zL_w`Qf@KgjUck{lBWbOOco|&=4^;-QfE4~r`~qDDfFT1AA8vU^m7nVIR+5`#QdMy`)mEJ{bh z$#yYp)3t>?k(d(*EiG6|ejH2~G^%z^L!%a1U5WEZx}#ByKPs1xH<;7)vz7?=rMZ`1 zK3$2!LBW+YCRZ7+%;%q17gtNrRBkbwcDMHX9!b$|r%(5LATNQz5~-y{K-oAk&3f8> zXk1&I5qgz-hv)j6D{MA496WBxjI=mN0{k(Dz~Es;5tW#eE!r^xD1FV>}B-}QT3$HHi60@I`O_6a~}-C*;sSYJnwmm=|}WS>6Qcrk}; z(_3Mb&ECtZ$l>v)qI_Y5AiiQe<_u1iSZo&JD2v+Wf*b}X1~Z5nnvi)m;@ zo8gHz*fch+jZ7>`XiDr=tDq1Ul5Ou*SSD&oyQTe8rDk9Ki0j{2FAk>qH<6_b(o$4JApiry*@L|0H$!wu^Q_kC-{7iujHG0FjGW& z)T$jnK+oj9Gl5RKXe@u@I53<8JiP{)ewXz-W`E<2$SctsT0;qhP>BdK1N^8xu}xw7 z=@ml2Qo#Aru#rmNa~+jSeEriAX+;48LHLSR6mtoFR(I&*Ptt0uq`~J}!Z}amWtZdZ zc5&5g>~evYL@73Ib3o+NoT2It6^|b|kaz#MJBb21A<^299pjF~k?wAk^mbV$?;0f1 zl&*9i_e}atOg%|4F>|kQEpOrNc9mrr5-6+Nsbae{F(lp zA_of~S^)@$E+!A0la<|7=ZU4ig7*?5O-W7kD985(Q?L%L(Qu(oyv5<}likj9!%bv~ z-Rxuk{v)mcr$oM0q*anG;mftbllGJ2lj*uht8(jI$iPC#!u;HzOhGb}FlU%B)~>=y zCMU}aeP2II68p9al{a(r?y6g|$I&Og94wq3RhG~EjJ)$iNqoTa6xc$b@~J&p`oKZW z{+UPPPiy0G7!v%uPd6*_rP~Ql%yzucK=?cd*V+G854AEY&1A0i_6FGHzL|9Lw)53@Su#d=h z*I*WhbZL;DS5$nx{6N@-AB@Cx)f{Qa%eTXe2f$Ai4ImcB>Q;Q3eQ0%(B^}hu^Jic# z+--_4<)*Y&+^Z7A?{uD7=cX^m4>f^XAt{4Vy=_7Hkjdv3d}$PmeL+*95A%~ez>>e_jMw~n^1*ouGG z|IBy8z3XI%+D%a30skpgU8fnRx?LRAW+`Z|jmKi#6%2s2fxX4Q%v&2uK zy;8J>RYnpm%-fqTSeHqqjgo&&P6+Zpfo7DniFD$ndO>ZD>Lk5`hSUo79sKSw*()f4G>Z~9 z4vv`kLUGBAP7Mc-unO5n1oeZ+UmD<8?JNWQ{Ve;#ot0e*9|eDslALc5j@v7#Pl( zsQp)eV&C{8;NX%JCHjpzA}uj!3aR?CTYmk7B4EE)4gYO&p}!(M#w#yD5*|OCbB1Dn z%EN*8B6r@HW4R&zNJ>}GbXa(9YGft=b%fc8k0!gJ0?_$WrOxNp+6k&cOww%MJzmB0 zOT`fWG*?4rW^kYv;)If9zlVmT*zrZLa|Osre~w$Mc5pNXmFGSNfI2HinI#}OH=T=C zjmlfH=w!}u&TqwJqLGpNmf;GN@MsUsWRs-ZN{%;{i3Ibm6*pmmu;%+S;-zs5!Rh`> zTplSgPN<|sY*Kc@YYeflx5tZtcTK7=jq(6uRsD^)Kxo!>qN~E2W8#-r8=h z@Doy+-@=BsDEXXE_aciaNmsq16f}tOXR2}85ky8~V;tfw6|sBAE32xg!j&1lH8 z1bkI(-}ps3e&;%Rve*@A`_C%99=0y6H?V(cb+KR@RVbJjGdw#Xe zIOv>mC^;VA^KbvaIcd0t$dpa>xh@JVLJj6QJxzhMg+Ypb(k$h*vL!QW4zAKz9SsMM zD#g-AYOCcl0I5*q&CJ>xWX0$b=^`8I14ea1RKKZaQ?+_I{Ei%&U67mH&SW3sJwhW* z9iwLC+%1KNyE&${{_GdDI+;TXW?2^*jjEWrX1F&O&zi8*z_ULOZ*EdWF zVsnylaV&GuQ8Vmll1cF-t6>eRDw zFCCW;v4J27Pzh??%JU|~JT^_k!pE6!*%hl7=oR$H;0yucC1my#ED1140q|hqdcop= z1h}`$6$`DF>uC&TzJWO=%(sLAt6&GD9-qFM;wUp0tx~}%xnEm3pEeo6QX=o{gO=@w z73OqG{h7pj$`?7+$PXb7wodj1(uyc4oR8N~b?O+`Ro3;!MxLDeT#+G( zim(P{6`{vAL@TjUDVr&UWh6(yy%o@F)dp@t;!c~wQJ12>_%K9ajY2U4X zKQEh#r`S3@0n-#fCy0N}*H5xRQ(s7i^&kU*X;m^%4KVB1_(EEPa?#zIDrnEpE>|be z#8IuJh1Hqambpn`17o|x2GsOg?LXgMzh8g*{f4eRxQ32=X}&4**rTn&X7~#RBxB*_ z4*M>sGa#}woDISw%4i6cM6d_i)L(|+i7=+jZ_Qj37CxLPBlEf?WbFcKsu)fmG@tWh z4+qj~$m>r})Ytr59?D^4iChd>G= zm&tzl6>5LM(>`Kovtvm`BCSK;XUHdLkh_jxqeO`c@)N2?ftBQ|Oc?eJJrL@)fMKCm z08^1J=U4>A3gZUpD+mwDUQC8>U3KA1f9&Lzm zbI4STFL#@GeMJ^V@F}y_q9$}~A&ysgnlz>WdP<1yvoP(KnV>KM)NrH*;gSMTM>cSG zWSvn^$QnfS@Jer4)_Ys)Fg|4F!cZCO{RY5}mW3B&gMp5x&ALwz7uUWw^9GPzva1C3x%os^h7Mi(<;gM9dBuw%>b35#6 z3XExpRBo>%CLl>re3G!Aa4x~N(uZy8mwn;$*DlC<{f@q?b4ZSGTqksb!Z6ZtKdwtQ=wsqhN)F%|B~cU={?;mW+~7sA2AjP`x%BY zv&DdRY=QxXfz60c;*2mz;-7+GtDkRIo$Bat1a?k7O7v{nS>5z}Z&`#V{!r

M{9 zML281%GLOLwT+yg7v?}vnysipoeDh@SnML?yu~f1Q%2`;IWG@Vu5*?+^AiFQW(mA( zG!*aig`(c#;O4wJXDg%%O#Y~jzuG~~CZP85=yS2ut5ooypXX5Y<}O%Do3yG?+ptaJ z;`AYo!=(c@4Fip-xh2@wtt(nwKI@Bbi8U`0Gv`DMAX_G)*>`Hk7Km>`I7zR+@{;Uu z3AgHTJHM*A#Rs!Mqb(geAO@h)I3F4KL(f72J#gHWGm;&`=Ecc*Z0n? zw=8ORw1hiJgm3$LrI-Yk*X?e(;EmyQfYf}8&|qFJkfgWa1#Yi9c6+5^o9hlQJwI0b zhWN%23zKDwiASF3yOsnAc4Cr|%7nAo&2u^7soDNb%fh#sisUmkCvLQ#k&nR}{XhGc z@4{(6KF|TV=?|-ZVIizqfpD4^+JkW4*Hruz6{Nym}b$xCZp* zhx2E!YA|_#!C~x43s)GYFd|g`P?xXP(wBBuC-JHmgW73|8;;?5MwaY#AWt{*^NkyhBI8S6KXbL&3t_*~)vLLlofCL=?fb%Ve%&696Ve2f zk}cTs1TZ5rIU1Gcxx!?W$~fKWWUETZ2=KxjJM=?y|l8KE=Dn3T(U&xR;6Y z=kiMb4p}>$0L%r+J%%TzR1B$*YOieF3Jx9!KGS&hxe1fCw4O%3KpXo7Yi2>30h^=* zJJtN{4P^eS)YXba6M0}vssAoBSyS_!%$^0GLc+HHgI-4n5C0Wj=>%)2|Bn(_k?g!` z{V4?jS^uA0oGkxDqYPzEzY`ZLfXS9I>o-fjV zUASKQKzrd&t!`rBRUxGxm}^3}@mEfG8=S^$&~Hn>zdczG*?Zxu1VRYM!5a)$ZfxiU zX$gKKB=Zoq-B>{zVRs7Dv6VH0ZCL>N?Lx!- zl2}Pw0YMX#Wf%U6B$d<+%_N8xIgaD@hq`F1s+&NSr)c zDYswI7mmdGCo10Ksh_A0KwL*ES}*PKox0A+)=_u-ER;gB@pfpKXlgl_)s5H%e=@@? ztGdg!6lz#T+_{b|!8SmZJ3A^6AEZeIy}K@2E<)r(X!={BQr9h1lJT( z<{*T-Zj7TYrVlPEI<|3G9w|P|fudYI?_0l=@?&Pzm_=MKEvnKtdIF>8*M+(VNX7)W z&|4-E_MhSs1`1w#cIlM{N1w~G=&StSoDH0IaK|I%d`+s^=PRR1P`XPXQ167pn#s1L zgpq1OjAU!cJ7{VNYw226+gGnGX3FbC7$JiQC`D#4%Ry0i-*KMg6C9uckZLRKsILJd zo_qrwzqE~+KePEmlU`MQ0{5B2@uPpPiA}E*4Un%3M;J=_ifExI5y07PodUjiP284Zn1b32gQ(D)ZYR}>?DLe;O}pjoa1S-DD!}>*1@asMwB+e`b#zu+YPSQ&zQ`E{wgHocroc9O(M!``js# zCPa(ihov0ywVm9HJ(u~)NWLHL1kg`FLPq-(Q!u;As5g2@`feh7UV6VZwo$p}X)e8EOjY5kRllRlPXsgIr#DNzeofPb90ifl4losDTw7Se=Oea&;UqAGLS?4Z z=bVXYkSq`vtAsxVXC^)^b}kgdjWy|_;!Sm@dI`8J-uxLIgo`zd2G=T;AHtR<8Y^V) z2r+AhlHRvs6{kel=?peI%qv*i^3AD~EjhAK$!!ubvC!%%rB8eltTzQL!|!^7%^q0a zVK)Y5h1xFb>;XI6OuG;2d-b_0rOCdrU0%ym`zkrKDCb;@-Utg{`}$iU=Wc*l!$m2W zMR`^8Al;%dw624#cNI*uAgy1)=up?!3KVt?Kydt$7kq&D@O@G_TSiD~kc57oN%cORl zKbBXIF3NlF)g&1e+V)Z(x!ZF`ZHk1UlcRN4mX40Y_-*v7|Bs3kWhUzn=&xzx1b z$g1Dhdg8vDaSq`*;h4s9pG}o9%eAC$a}(i!XX1miXbtWEPr{E3$}brC9^^j-^}|1toDmtxe5OFdb;~SuBH_E9*?Nw7Y0`Q=&W%3f#iar4SLhdEj z*_}5Do%L0FmwEyqPfTeq>)JA-)@>FHmJrXOHd&Z4OZ?g`<`tGG{3L&XT*7nt*Ch%D zGY%w8$s2FoeHmjs_$)_J^Js0~qRf$4z3%JPdZX3gMZaeu;M4YCDEqjrxz*%% z7VMPOCWrH9ox5Rv4>nUzu=`uQ&*z6KchZ_FRzm~raM=A)x>~>WUPfLDbG58en#(DB zwW16~9uptft6{CrQ&pJ~Rjj`Tm8j*yjt0aj#YujL2P-S9hr_n#A>q&GS-F4O(Q&W3 zE?7A1mVXg^%66!4_&l+5cs97dJJQmKzwA5l3cxcIj{gcHLJ&fbi6Hvjlm#6*+C`je z?g&}bZVe(T6hb6ve;f(qMzyvEX+&D0b~tZj!VRZaVfNJsZ@)TzH|nS%PM&pVfY@YY zAqJxOb0L60W`(M$HgN!^w^16=C%%x! zf(2?NDE1jQ40jAW?liKT^vx&8DoNUr99vhPw!PwD9xqd!)CuVr>Kmh4djTCuDdJkB7 zk20rV9##PPb_kBBFc%q8&u4vP=+unIzI4kLIFQW_NvQP*nH13T1{?KDN&%GLS*1Y; z+1@A^ZM$DT_cjkK2)*~E5CHKmqyZ;c=%EAZudK03zgEaAIdKnRPk19KwRVd9=`0Zu2%y+WLphhyY(cbh=ENSsCXwGC#cJansrs$3nr)>)H4_ee1 z=17N^>A%n3F;dxCSkuLy>bW{5r*|3)bT^UDvhZ47MmkZUH4@dx#M4)}R9w9zNNDB{ zPFhYT@w1R@DcB-Fx{~Hr;%Bkm!>=~M4YaFpFD*yw*&{34f7ae^u>0VPN}UA)VFhuF ztB;qg^hDgB{Z|B0O$7NB7#di&LIihdco^7Ed4xN8Rln4Y9g|p3_$jVZ-ly-m2$3WA zL!2uKX_k0b>#51eVg*IK;*RcKsXKP7bk5%D$F@QJah?o5?l?Wm-k8W)(Es_<67l|~T>5uHx zllAKhB&$C;+vL;M`ijf&)&A%{;~W?LCdFm)s4Cqejzq`#h>#DBU_sQ0N=ry>56Mhr zVii*eK@{Z_PGtOdPNWL!tZW@iZQfpu*q+2lDZD8)#ff5n$7l&l+}`23=MdEHFNRw+ zzs@&*M|JV@&0FNIA1@ZsXk54Pza4LIx@KCrLSVa8YE@t8;-#{)Iu%nUWGer__6lxU zKB?T#%-U?)1+eM@WXjvyDvXC`C1IZtEOV?)Vj?{A$Lm}(@GWpHbg`k`iD73|*u|R0 zb*>r`U5K&Gp4Za~1K1x16M<**4KuF?l&4AcrbUu$#l%|3sw`Y#muS`BylJt|ewc#W z!1H9gD^(E(>UHwSVvZnU$bw?>jfrX3=z&;DCe0K`@h@N>C2{Y1hxdm#=fLhPZ2is= zE8*?dKGse(Ev^CsK=LInrEjEjM&N?bf7OM+mF7w2w&Vox0o=LKiTw$SWmDoy)^3)7 zILj$vgYmVw*`f2OW&J~*kJGzTjJUN%drlsXGkP^f-bB>7A8!f@gZ9=AbEFFw4IA)kBOodt?VnonaDY-RpU*d$42%Z<}l>k@l5qg`fMTSc%9iyeY(4&#bc@E z9CMUeU&|`(hG`PqgF@cjmFSK=;%sJaOILIk0&_CHrlB^-aAcSBSrn;LM@@|8Zih>2 zBWMP4ZOMnM5`87zsN*-*HRQK;IwIgBQtJZ2fd%-N!jBT2+NRb-$qPlWNhL zl=&;)lTp5NM|vA#L1qXVF3#INY?}b*-sz6T#YVEI)_ey7o}lyxuWyetU8LylwwtwHd9} zL@BiYg((BpJ!~XMJIr8?cEkMk_W9_yni(&&+bKIO-Nsfw?#)XD@K&ej41rh`-X zhZ+xD(`N8_b+iF$paqe0QH@d5OM2ND*C-xEP<+W$vMG&9X^&8BE1Y z_>>bA>Vy7CrB=j_G`P|Y+^TlI4zO`|^~%@>%w;!&aE6so1%=^MN@zX?rK?iHuGA#z zb+m!WP0ee&W;KIsoh-CieeZj+yHum_5j|7XBkjMtY68q~ zuwupl=0X(Cd~V4)nE2~E}>v~+AV3Ar; zzW5*pABFiMV1HJpW2)6#I%fq24q%kQ$xw_*qC%!tEC*V-c2B#vOcW20u&i$e_^Fs1d1C&cVPZ?Faj)^9@wicAL>TQRyt2o$nM0O^ zv(@|K9B1>4dUpx}h8dAXso$?P9-%3lFUB#%5#tbaC%zaOIh*eWK4&V-8^g$vOmgRY zHR}93E(Bgs5Me^boNRRf6&}@y;F9>Jh>M3D zZg*Br)+?7RCk?HwN?Qlpflk}nIA4SihIR_;&D+c9*I)&tXNX+XzS}{W><(_m2w}^$l#*K8VVjE~fXU?u8-GlPX_xnzCyJpE;8`sUrvu>${HLPAiq6z9^^gDi4sqa+W2(B`@ z4Tlxsh!oe&74mw4yt!8Lb^BSE+`X7=c-4z#Ee$r+H_}vd0#=}QpS94(GKKES#0Mvs z0$-W<*$LX(QQtwUKe14+rX(t(|38)0LXf0*z05+8+pmK>u(vwiTt6};`^=V>!34Q*XDYvL6z6HXh!JmNrg z_76Jm=$M&oTK?RtQ&)%G-34`Zbsd){nHZ40juWeF-k#Z%dPvKooc znYv9~4NIGsrq}5A>7R-}uYVza*7A1Ntl?Q6Z;)jy5m%H(3+Fb12GD@X{3v$_=_w(^ zhUyQpz&e3t8x^(@oi;WqQd+0Onn%++GZ~Cj@O1 zmuyN}ZhpVSn_adrjOsn z(0U^!Le&-d$~U0s+F`btBH712JA2~1hY2@B`hjtu^GfHco`H`1P<3A3YGm|6i^a?R zMNP?bz>6}JT$zWfpH_d0KeRk%tpymznkOz3--Gd`p|d%w1~c|HFTNtQD|9e)G4w`A z%d}x6-=?R0tu~F?+>${%TqkL!c2s?+X{WiM!8L0`j#e9`rdu)xs0$QMi9y82wcb!| zN18&;ikfU!P?L>6bUY#2u5})2T|K3KzXsi}nY>>!dB5h?`>$`9p(pa-s^Xg3LU!QX zP5q$yX4!Ltd%90of41+@CpW(M*31^M>v%pA^~HD1ZYB=&J~a4^>MQ5Jao?UlogQxS zm#R%KHx~Kury#jAQ$YJ0&J z5za6SPB7t&)rx1*VM3FNX2J}i!L6L^biQxpWtZz!FD8rP`vPvYX1xL{pp5r=1#uMH z36)c-LUf+e>2Q*%5GT}ZbefrRjq=V>p0jvcVuF`R#0}^j2DC&63?N`g8C1gx!##!r zhGT{c2EAcz7JnI>#{{D1bPLq3EwIS;eCy_h^WXNH5pR~pe_MOjt5z#-ZZOmz>$)*c zHtx_94NS3E+;OSaiY`t*9jPdGDNWwgiH=0cn>NxWQ`_!@;kn)@BDaJ7Vq)FysmoUX z@c0{2CYkZZXBozSXH@4&S3FE0f5evx1lkwRY0gDOf1;gOlmCYm)4sH>`p#RIEc~gJ z>40RGBz)#)iQZ*VD`y;!HU-7?&yTJc=(4%HA&ZF^M&w}(gP>LIw8e}d;7^GQi3P5; z#ADI6I@BJ+5>Q~pqb#Wm7PU3$yzGb4F)qd zRxXup$W$v*r5FrOy_VJa37dHLWP~eW6?lXY!fG&f)sGggexxR^!!L`DXY2)!N;4hT z{=y3~nC)75tF_eYmFxytf#2?bd0DPq&Ln+OEXEj&l(TznYv#klHhU1N(MICH_>aLe z%k%B|+osBWW}T`0^Zjq)`}=s0&mv64z{CVpo}a)drGZX;Oh@U=#+XjgBym|2G09Cu zO`B9~>euu)E!8Y-+MwCcv|Y2k>B*+UO{bgw++@Gl0$dT1h%oVZYdqD`7e9jOqmt32 z33!@7lQ!WD*rIybWD#99j~DYY-hh|zCIF7x(%QBJ8JK3H(hz|(?#GijfscZnVu7&? zT5wARyspM&*Qk4^cNFt59^CW3zCg?WD&w>~fd;>vl2!Qvyz(oVlwFyZiRVH5+JnoR z2B2<%b{;*3cXh0MrOsPL^!%L_JwMCTo;ka*Yf-k=x7{|?w%x?P*(pW47PoAbMk6=v zmFDnI;*$Pz{f$L;;_K6g4$NV;jsgOAVS7@7wI53FhLOeGhVAM9*a@7(L8f)GJb7@M7%uV4aLa}Lu^{Q88w zpsh^&S{GU*a&^73uDlEVj@=IJx+zW)& z*d6#C#75Uf_X_W7VKcs&*yh^ie$f9g{xISK5_*KeFg7e z`8tXnC5vj=3t!h*j@VrPm%VQfi|WYst-ZUOZn_(2pn(BBBz59YlhP_y{5* z{iNS??`|IABZ=`QImU^`_+@e(594vX+>BAjaSUNRhVf)f;%AH_nS92R#OTR28O(J& zNv?4m$1#S!zpA}K@|tAko`3H5X??3|?b>UtT5Hv+$F9Al`#CR>ao%1oogCpRO*$?? zgYADtt|3V$KdF2^iH?Va4EizE#TUz_)6YOIn&L91lqU6O(C@JgFn7=5ss*XLHt9DUdPx4`p{pHV9xY3YNsOJaJb6uc?zHlieER&! z>$lJyU3Fwop2bGl8q>yv$`r1iTcPDMxvba;hRlMQn$l_abN9zKshf;`wcq%l`a$DA zlb@=$$L=Hh)q7(1<@BfhYr#9QXLWzn491Nup2lg^#E`Dm8RUjUT}rA-1)sV=+if1|WFirg0 z&S-$*XV7b_-OEI ztWu>ZS}3et`Qz>Tb&sW?(X3C@YG(9+D+QKnyX}XCe>olM3f0op z|BEY${xVRnRmE%58x3E~o?7<6zJIH1sjQndwSn8_$c$tAK#t6e3@Yjn75UsUlQ&^( zvObU3Bx;i7c`{>icBV0}G;c%R?!2fxJ}&c5r>rM3Rk8d_<3UkWCsT)#vr^-?(v)X6~8#WkrBT{ks zV!?dBp+NVs`39CyEWTe}Uw5sb-P~rF{Ha-YV;1|sW%l(?XAe)$PZWtCZz_rm1y4Lo zzu=_@K2ONSCjs_l3tMUPus4jMCm1xw_2S2t1YMq3CVtaCJXd4GO22QLkU$R>=vq2$ zVlu5&a~BSN`{3mM0h1 zsT0Rey+5n8Dm1iX=m_urvYSi3wyQcXUp{eV-CX}uC2l?wYpZFfTJ|LRcLup=nwDwc z@}mn3<$`X}7v$`Vr&m&9I3nv&^T<+#Oh{Oq`=`^jyn{0 zEG{Yz9n_~>l*wft;cuA6Ov*1IGoDjEA6N3L627=3R1z-PP_ny3Q9^rWCVhR$-Vxux zFda0D+tp9abRee8lwOZuB+mX6-NRm=%w*rI%oOL&#EIXS`+5H@`p_g zJGqB_MVT`6#D7MX$|lJkBRSmsK4Q|(G*YMCt52$EOkO@_dt9GP9;b=ZM#nYh$R~0D z}JwDe#yk<99NcWqCaO{&Nk!zoW$%ST=K6oGygPkQudL^2Xy~N zOAKSp>}-W5RT*QH$EW9vOO@ps6mnTCH{NJ;u#v_dA-G>~zu{yY;o`@WOy!)EpQpyZ znx09|>M~!<$stkcYNHy@?XkH(8_$hb$IevG)Qh1_nf1TY^LqNV03HSPYY-!oU$~d{ zT>)LeKBcdZ>6AGe|1j~A0_mHuOjANqDFy{QtYLlmgaQmj1;fXx(x(C95siVP6ZEH_ z5Z#|8{_)0WE#)6Mvn$}Z

Q`H2VLqW#))IJAo57O|nT}o-~ci%_=hJ(zL4kOD5gB zBedbcl5q)XhIA8O_120-6AB)XJ@%zNF}vjTY3T`x1?gjF)Xph;U{lxR_~>~4P@##N z9f^qFiAEjfv*{0Nb4s$6PvkmCib;!|<8)PhO%BS^m-v$;k6=?kz)?1|iGC>qj%xN- zFE7j{B*CQRxS|QSYH~O(U&Tm4$<}AZ98fljvdMNr$h2Q$tIF}!FY#|Mp`s_S%=Fzm zN3As#j5WnPGVkem{Ooz$JaVaq{`Y|u^nDahUXst0l_};B4M`!zCOtV7HAQeH;W&MZG#TqA{5$G>g<|x7e5;sVS2rEN{bKsUy0YmD z7b@nkYXHZtrKu0g`3drg!S;zCy3l>-9S67GGeBkI|&QJ$8Ls8d2)fbM-pCw6rUAlV010%aRZV+4ck>_Ljo`-GZq*EdKdVb_zR5lpHLs%@&>c(_b_hd&}a(8sBD%N0jCHA&&db1Uip z(4`L=*FVMcA=PgDRORry;^}w3Tg~C}Yv(Rq^ze^DOSKCo&Z#NNNFE?3~-*$u?``Z>Y{ghn# zX5zBBxt#Ew>C~OXuNh9Vr{xx;+w$8{mMDwzDdkftnd2A84?xwrxZn~T9rn~8Gx|{B%yXpVm zB>o9*vH^J_u@N~*ATp8_=_6T07I_+ZQ^bqB8F?OR&LfW-x`{lVUNVo&1N|}*2RX|~Jn|;seaPdHH#5AM;ebC>0bxl zNrrz}YaOGaxSW)Y&?tz33`%$unZf=BF~yaniz}6I6)EP{NO&~a$nBT#7`~e?9{K&F zY4TGN&XG8UO2T=fQ5Ym#M(&kIBwS9$j?gHGRxwM$qez;fM#7ck9)(lFRm7m!DB;m$ zj^bw$9>XnEd_;dKOfExP8OlWxE+-Yr$qZMZK2`aYgv&{}GRW{K)a#Y6OSqhrDxYV# zlKM^gg@nUb%JU3YQ8}t95)L`4c!ozy{ugo4{~|8>U&KZKi@4~25f}Y0;-ddWT=c() zi~bjJ(f=YY`k&%zYP+gc!eP5=0mC(@&r~QCN;vvd{U?TxL%l(B zzl6)l42@`G7S&Vph=fB=jg{f~jQ%$gPU(Nj@JZBO&7UM3_G;dyxN4ODRipf`8fBMi zlwI0U^x9GM+EM+Y9qyNX#7Ig=F_}V2fz=Z)aiLsDLPP*`lC>nvYUbdo9XQSHsP{37 zBHX!;1dxnmDe64n?<5`U%7xMe>MrC?P<~xzIa>3PAfq#qI+Uv!UkG(}P#Q@k@Bny? zn7KMp7XW<=_!^kp4oL?inFi@okc=c3tx%eF-01+g!I$@~^wj1%?TfA7X`B8I+@z8A zN4LHZT;Hd+kKM5YI+?eeprQBLNh|88R{w~nze!m?f>klv)u0v72S&08ZMd1f^zJ0U z1Iz{iR=B_=w%g7$rBVw~)4=FES-p|eGJUD$0_u$L?o6yAC1eHeufjF8hss&SdYM}4 zmF#viiJi;?9qf7ADch{JWa9 z)q?!n+ZC@VZ42yO#jJ2L+7NOlyC23K(E{ofr_`2DlF%Z_60N6EZv2MjA?D4sEb4rS zG9&9{$4Fb>rkC&y*+1M%cceQ<`njD&VJFkPWh7R<-FmV0Z|Zf=C?8NuL|Zypd&5yk z<%_mDQL~y^9%7OG?Ust3wtvN|F4nUlDHp90@m07Av)stE?HY+(Q4XaHfZ}iV(LQ5I z@sv_yz1L-27!pFAYr`(%oKSl>)Nb$eg@hvGeSv^+sn6r>>@Y5Mb-3EQT+X6l%JO<& z(A8nAbFDTm4F&Cju`(2J8s~M|1HP8>23LCrBp9a^O_^fMUFd6R4|Rmxoq2caONtpk z*ctcY2PRQ|k$qwP*Jb-UjCNyZyWQyu+S^-=A@_GHJyKauv#NIcYMdjI-gaL{NGLQm_*yzc?Z#SryVE6f8mG*hTCyUv${4h-HLmJ#89Tjb+a1Ck zdxtUXY7hE4J6%qrV=eBujB}UO-iN#G>>}JAa;|FWH2MVNYOk-wJBk;jPiP6Oa)Pxp zWOVvE!T~g37o6bofuIFcXuim39Bw=$1lAgJeR)P#&_TKG$P$LBzf~nh?xZ%iyE;1C zVI90Os(G-F$hwDVkn2M$ovt8tVY?5lIzy|4K*&D2Q7CN}Rdux+VQ&acAz#%QUe#%I zy1J-yLF07=!e6uKd#8R{=V8OLlZAf^!dhHZIb<)?6~Z=$X~$KZrN{S2V^hGUc%K6^^H&R&78l>H?_F$ z1>S`^Dq+>v^rp4nC*yuLnRR?+L(v8qt{>p5KZ3fI+(1izWYd_ep4AoTL5aLVtfBR}HhTi$%uY*~i%agji3l!h$0%DfUsZwHEZ>-(RD9J0yAh zLunKBy*A6o$t&dd$mht**AL>fJxBYr)EPeQQkEQB=z+(%j zx49`4=ZZ&StMv!)(YYcF$`D)LLY$o~fWi-m3xRF7edLO`8Q|i{N3sdH`~4#+#I_Jd zX6HIqYiG~gk^i&*mB@JV8u|8r^mj&h5>Nm4i1_Q-3;B;1^F;O-;VKo1T3j3NtaX)G z|LFHjWOihzeqr7G;$j)uNTkyZE#NwyMtPFWp4K@$sVX2dir+#w{we;SiH!bk3hyh&DppM;GF7Rn z4)`J!p0rd=s^0^DOLYeL+p4R;KUZA`{%6%K;9sb|1U?k~0O6wRqn8s|bYt{o`Xg(S z-8@O>Z}K;x{}4X}4U?fc)p-mw@ha93PO(n$F!1#XXrkDlcpUf>3OrRSwkWnE->LW+ z^4*Fa-2J)YdE|Q)dr`Abu@Cru#R1^IRQw9~A;k;855q=kok6mNhZReJFI6pro(-x7 zrvEkIe^UL4>AVbiLv#Z)Uk?2_NpCf=aj8V!vbH@yQa$aiR+8^^Ioe5iz}_ifz)<@L ziwg0VF78}Y+f^giw4d+X<4F{WChAsKyFe;fUd8hHbYDakv%G=jewJ?ywgy{C56kzn zyqA!XQ=!p0&(3scDMO1<&`1Rv2+@%k5=-Jx#*+k+Nc1F$B$E_kAY(`>8B5Z>gO4W~ z7PDV1Ct@holV%Jix)9J`5!ynYB|FJ;Alsn`DXown*3Fm&$hfdle}6qa!vlFS*G(sE9(3RB+Ackqz7lp77=T8vap! z8%y2%ZoZd4$$uat7^zvZGMP;#$actjWS3>1$jt&2yUfwQ_B&Hch)!+o|29>DIoby%m!i(-5;JW`E4Fn2%#ViMbYY zGd4eVajZXf1EQ3k5tm2KlUb4T+~<)#?gq-gqWmJ#$8!L6P65OpqT{WNvPzza0Q7%K-fU=RERZFeKj%cO> zCvEMHBrl|-Lqa+vJPiryknl9PpJsMRoWOLD6OS?-cQWYNI^*<$(<`;@1*ccyd>Zox zvu;Ft%2@@@Dv7h|D{Vf2x_Xq2s9yo#+3EXXY&d1?1fHJ1AEv)b#LnI6sXIr0E&1FF zd%a{i^QxC9_(_p5d>Nn?uw-ZlUmvOcdToTDmX1QvFSp>6Tii{ull$w?0Qbevb)Fj< z;8P({fvDJns5pnH*n_CpfvDI)WV9Ant8lf7$hnfpANVvxOjhI-eoN#JM9mdN{=iKJ zOaV;AT^+y($OL2q@&E;(l#S*^Tq4f6!pHzODKfw-0MU^~v{Q+8D*5C{FONP0@)>w6<2lF(I zb9nIw=@2Dpdk$3RK(%U^io~II5BR;%?G@9U0mp8Kr>VfZii2fhe)?JJ zF|{Au-O#Wb61t&bH%8JqqJ^Y$kaP}`&OypKNI8e-IfuxhHl4$WItQ+E;5rAcb0iwn z=Rtj**GE1CGR4*i#*jOyMiA&%G~l ziLb%fnuk^w0BV6Rj6A~EA-LUp_P>YE5HkA1q35Uc>(2W*reslBX2<382&y| z3+{v9euG~G$xBey!^_K|l?C^M@W@&+nIwXvm#>KYm^Xp?zDPfG*p2pgqy5d0uoMzj zL&5+g{1YVX;#c4vmEeZd0Ll;~wxL{$J>i(qc0#fnlHJfHho2ki;Hx2}23#uuO~9Mc zvIV_ljl9M?(049q;f59-Tzezic|Y_GM)vZnP~QdX*F+xV*G91SgHGr8AoV0P{sW$Z zYCy37un_t$0eI0uF!CC9b+yoJA+x_5T8|~_5&LLuU8ETvxdaUcz|#wV4zLzivtAF9 z9IP63sB40aR)81KiWW7nZ5M1CfY*9q+W@5fh_6Fii_p#z)U5#6V0Q>I65*9vcx9^O zm0EC41Lt;Ve-zxd5zj1)grpvO1QHIQUFxC3X!|hQJ`C#zNF3|2xsqLC-@>0HmR||5 z0&D;~0Bbkj3J4&&1eB|>mZw3NZs_s|bfJG=e+=0NKkjFGJ%Y%Y%GzHJ>L#?;EVb>3 z{GNBBbOGFwEYQlRg*rFD!+LHvw0Rxc9E3Kzp~>5P z7hAuaYG~1g>fW@PCF1Ky{z}Wk$17{ zz?jAT^LQenSUIRGKy8BT`%ZYLqmR)ac`D%;Oe}Co5(IDq)yYz`agJd5NB4Fxi)gj7g;R zt695Ez(ffH&|zSd4g({S0!-QfbP%;wjc{2dt-UOc1|$usw`kvg)uw?_HeDN`)RKLO z9y*(>1kjm;agaP|Jok>Y-X`fhEPLQ9{tCiBqK|$j`R5Ww`By1>S;Pe-uMT_k4s{cF zhpn=WvW)6G%6_Id{q5qZ*xQehq8!w~#d&Lh&86BqBNg-+Y$muhN}D&BPy9?1s=8r2ytLO{tr8N!STZ z=I9^9FX5&V1NS-iIZ5UI%>9Lo<^Ib3m1J;Va9@yd^v^UCBd_2UB$HS2YBGV>@-dk0 z$#`3-|)e1x0)jDdkIfXpJZ7 z`^a>@imxWK`5MejmHd2u5t+l+^YvsN-@rGL`Fs@JsY^nSX zXgDSM%2Fr-^^c_lFat7;fb0<+iKXI>u9hnB_A(H4e~&-jeAKlo*wg%~>$%|G=98}d z!Gq0jx()^Vn$Nlp2m71fcO4BLL;b6$Kj}J&{G#j4;ECpsU1x)*n?G^AA3W21&2e@EQf@CNVtalr0ZsI5b}qD2hD1?Jb1;dL(NrlvRjRsG`B8z!<^|( zMvEuiX~EmbGlM)1Lcc~C-Hn}bedULhA9C@kRB&3>a-PMB8 zywqJQWSbk^ONBzS#oZ{Bn4Rw0;AykpZ9z@g?G$F1yWD=E!u*gsjC$lkmHAP3moVSF z3DOpux4IvKCeOMb6&lRl?oE(i1e$E~ZueGbvd8@_xDKGE8I6D&55R?u7A zEDx}}o#ksh2|}S|y~lw3afUz1@@<|Bp+b_so#pi`uVeW(mhbdrK|(#tdsx2LlaKtM zr&#DTzvX^e@S-n{2m393o-$#bx!W@)!cGl2%fMImPfH%>;4FNg8MRL z8d#no;h(`{SuD?I`4x{_C`2qF#w=H9Z(4460>LYm+n)B|4J+?i6YR07JX%EKSsJ&N zW1dQ3gQeJgJ=kM8!8APWsS!3>&UosCE#?cJ^}&PISkL3aF00=2Wbg!yN#Qwbs{0{f zzt!m3hP&CG?Lw@zkmB&$PT(box(f4UPY>Ff;l3sC%||_Zg+tZ~#KU3OcsbZ-t@0cc zDy;LV4rZOFPdJJ`Ef-$3F81^X`>hS0V}jnwQ!A{^o)fU>eb4FOF>|Bmj8JHG(0VCW z-=Xni^|GGLr#NCJgSJj1$|}%4wRU>m3!bsgKx`~FUuXC_id#2O&saAy&unqs#JHgG zd=m8^1g~13@eB$LmMqT|*tmnnfOVJWDsV5upYz;+b^EC&f!_vxh~bC5yzr*=s8=PN zwZ7`!D!gw!$?m>M{a`&Sc?@1MA7}WR%wz9+W6_)aUOgmVq&R30$<~j(slY#B_%+rS z+31V;)|(VZv;(KJjo`2jd5yw+OM*8$c+e*I77E!mwYLPg&N~BmGL0fz8XX0;OcqgW zMA&j^T-l1~NU@cAD};--a?A-I+f3f7;0;@~cfL@9`R!HV6I(52jBB=~-o?UA^x8#X zi26Syr!!bcZSL|mgmld(z0ILyI&Xy1Y>m|a(wNGkxXnUw%x2d@nbr!gBa|!pA5jPY zn{&P1;8mN`D+moXKlL+4EBtH=GrWf4h(_Qx3&SxVVh+K~6Vlnbyq&>5+e6-Up(5L( z-VN|}1H9dA+vMFCDz%<%-GO)$yz7EJEozFl=op^dx(j$3!!s$DWjl?3+q2$hFa|ey zcR<@@pC7Ysw=XQ{TXI{UYkL#3Us$+^k$6gKg*WTGscmOlirnRG@7qi)dWZWC{n%1U z`?RH;`q}KKer_>&E7~s7xwq|O#}3z_woh8B-Ql)t)?IW&OI}KD-QRYTTGuvYy~f6M z8MT_u_JV-<9P=vrXv6TBwSL@+`M;%>T5Y}%SQ_kc7E|4vWvs8S(!Rz>p)&@X@yzeg zsJ2-Gjqq@{cUQ<{-|l-koNwFheJ)gO+vD9IsP9tthB^?DD78f{0sM?)6d%k1uP z@2erF?UeUq$Zvbg`(`L?d&heg{=eXTKhy>Ki=l^XA9+6xJ!-q`{Uo%>a@u<>wAJ>R z_ofhQyY3wdJ!`w=lZU$P#HSAJwnzDNp*?o3FIgzGC-~Ar2kZu4W~kSm;mZ|n+OvGg zp(FNuUs32~d$F%HblhI%D-WHr&+?fL_#C0uOb}a=HVLc2qh8flVDXb`sdyQRi$AJlj$4TodT- zXmYL(?C!8(y)F;la6TT`BY2%p1`Y`8#9ajD%xYnma~mD+&h3F-VHaX-eejHPr?)Wd zW;=&LwmR=}_F$9^TG|6gfbaG6wJ*giy1m0~xf*y`C~+PP9EVnYfm6_`Kkyc`I>zRZ zN;Dna4(wV?Vi1}<5^Doobb{4ZZFJyCH8$(cV51&; zp^h~+r~A>4_0AiC&x9)b&cOAK$Dsq}J?HJfEg{>5N1~1=U8-PI$2M1NP}{NHoEuE& z*y-5dF7N1Z>8VB5PuQw-)|-lLcm?YBwsh0c(NfAZ{EUsJtwDp(Y~SfS9xk@`_)a16 zFZZMIEB^fOdizy>arklj4S!kq zN&9WOPosHwn}hey3U7C){FULIj#z(9xW}RQ*M;}mvqtiRj#PiWV00M$O(7G_!+qwk z--f))?+&HWJlu~~0^wthY=3+Bgrm^ECVbja;$I(fI%fDE51(;V_@4~FXY2KE3x5F0 z?cqU3m49dWihVoFclvw6R~_^Hd&4&zi~R?~w?W_6#yc9^54EWr&HnzjSSfe-kG1J- zFEiZhKhc(IbNWw1!Yu!pHY2#+Yszwi4)YrEP|z(|=XaL-LKb3hXh; z+o~Mvkk2=l`)>o^!19f)eA{BjmR40;gX5Xj*tTZ-!B%~ngU+SFe#frX)Hbi!6ZA2EhFj?d6MpW(S2+Hr`>#WRk}IF7;h+xy;ITxk0v$*lY_p0)Sccb|Rs+2@>n z&OWCoZzWjDfQ+m4sr3J16K zmE8%~Z#z|XFWk6ouMf=118mc^l;bRdq#fV63`KIuxx}Nf_bQ<@Z z3lByXl>4f(B8!<$A%0|ec?F$?%0qNsDsQOli=_LN${Ud^x>H1Qyg8urnO@8M^-MdN zzsZBML1Zg-HjEO*pop)0H%?GD$}7U>>Kn`VhA%YSF5e%%RCl7hmCgy?VOk^QZFDy9 zTnLXuDqw>%5-RUx{*mxCjqih<&TZF-uDeMx^$t24L>dC?DQ0=cY5ytjhMq*61kP<6 zp|e3`2how;<-MTu>HHbl`)YiZFS5V9KMcBjAbcybpZTqbeZEK=(VO=}r!Ufpb4z30 z_3|^}JCP&a0j7tDrdv{^+uIP{80jq^rhTdWGVM!r>ezP2yCZzB{y5Q*exf4-OrK%C zqkJ@cqrQ<&`}GRO1T?RD#m9RNpQ$!tE*@?hp&LzPi0H^L)0fM~!c%p(%g1ZYIGM!O z#zscrhr1(V{ynt|z@MmHOlN7PCy6eHS1?X0E94tl#_`Z&{8q8m<^57(w6I>f{Nm7Ysg3%1AeZR>`& zpUyB93v06wn{X@KzR-K5HV3EZTebOhk1Li`7c^9%F43t~j~c3QCfP&h1UfU6kJ6bR zF(STU1w}X1q7`?I=uk7PnfYqL_Oq{E zt+Ulx#EusZ{FR+pkuLy3O0KtGDAyM_uXmn{>zAew$+M_PdoE>jK*!Xm?xnR;%7; zXWdnCOXd4%<(9gtS+`V<-IboY+U<`k%jzPHa%He?XJbrdeO*)I{L03<=K9RaU3D!u zDY2f)J#`1SHCFDcYj32svLdnEZrY4{G;YoHhv_8T$d)vwsi)s*OBz>_4UtXiuE?{d z(CrwtU=J|e**(BJ>OJa?#JBY{iXD+n96MTw)0jyo#>S+|164VV*_t-Gcc)bzs_SaZ zqrbBAH?M@@n^!V_^U7=qTAGA~zipMm-?m!D-?my|>9QOZAGLhP@&jSxZ&H1dze%+* z=B1b|Vsp%|WByHe_*-6nXwMWY#0x?QMR-M$C=*{4IpQlwo5ex#4e@DlMEs3-S#%?P zM)Zn4Q7V2UPNU{|@uqlH+z|gFwuyfgcf_BI2jYqN3rUtT#FwQO>44~y+NC$e52gPr z-4y>OPs+a*|6xiueONp(tu@&tlWC*rWofRd*7V<`1k*0lm!)S-J*MwT&zbs60;u#Z`8cU6kEe)0i{{GlP zIaAKWoaV`SLXz|4d?Cw!D*vf4$wl%f>8~Dgv9QW6*(K)4pO!x@V&s?QQZZNd%YHFW zE|bgYuS#-IERgGPEJ~0+D}NR`|6JZB7V+nfAHZ1lh$W`qn0`Z_CX1`$I`@ozM@uO4 zMVT^RNmP=QG-aidsbnj8N}-}C4rQaVMe!(QN)S-5G%CB4J<2}ifO1IbP!21{l;cXD za!MIg&M6m^OUj6HO}U}mQtl}Cl!wZc-E5DwFR(ARr`VU<)9qRI9D6?MOs7FDjcDni zo=`(gYeBC?z4ZX6eG}EPZ?*dX750$5!M?-38xni%`|YjvHu_$a`RDxq35`BX|IZ>v zG{7VC#pmG9MeM^R@ZCP)g7^MNxZ%5RikHM~q>VgApE7SSmxxVfm)RvgZT^(`Q(}wx z&&->}%jPZSE#fm~kJ%$i%>i>jykaghmkE!#&Rj3N<}aG}3ctD8+>Es#i7u=X8s+7} z0%P(4YXR#4PQWHnpcE;^id)&NlqvzGN~u*M%1)(8X;xa4gG#&7r5sgyloQHH<+O5E zIj>w)u7JL(Tvu)?x0Sof1Ld(@w#V4#+Y{|c_B8uSd!{|xo@Xz#D|Ux{qkW6rV=uD@ z?e+FX`!4$)`#$>t`yqRW{V;up%<{VBTl@{c*cqesIiwu%Pe{4qEhHPifp3kNK*}@k zFz*o0n?G;Ormo6GfQlB1k>1XNByy7auYW^a^F zG=a`1J$jiAZ8iHD^m@8oloL={C)(`P`a|s>LEa5r-A~bHv}rcz_UQ0Vb)N>kHLYwv z*`V`uyU30+HEmJ5X>9Z|BlKh3jiC2oHjr(kgYqG5j0W&bxju&UOl|4&q>ly7tv;^0 zJx8>h_`1BY-f&H4bdE``Q_BZ58_1T+HAgrft?6ccR9DaSwyB;zUzF>%>wOu}^yu>4 zhQ1j4I9AhJHD1$SHBmDVwe!fVzRb2&&u8ln8J>p>&ooYClb-h*o+oS0ME%9+i+VqF zAGlvLRQ0H4II2_6eNX8>>3o_^#%FyU>T`>>f_qfn>^v%iy49lQa&>7aqdE{;9UZUE z_mL01&+^%FPaF4l$%Fe0&;7H;efC(qTRt@Nnfm>Xd1yK}>IoiD$LYOto-=(77;}9b z^nT2;v)WoSs;{-`_?ofmg*D?$YdO!I?o(vrlA4LAOlr*}&0qD3n)~`bT%A$#sCsos zM8{08i|1-v$XZw%xo~6f zLU>p3Qg}~rB)l(pEqs7%4Bo(=smB%LTw)EgPt2a1TcOgZ-`|;TBU*khd?@%Z+!5V( zp60VVp5kloFjsHeuV$BPV-%bUAFeTnkLf;G6B|BWvmo48vp9T8AFHShzFGT_<~P;t zp{l3GC&P1fSE#o7Xed(M6WUpQBGgoUGSqC0Q`M(KE!Ag32dmGA+Gps};#u{@P?s^j zRbL4mjmCUK?5(~U>f!u)=tT9+(8=oCq0`YgY=G*!p|cDRLg%X=hb|H?D2J{v#DuO= zZj7bD`JwB<#L!J+3=bxSZbx-$eGaCD?&`qf0^5QsLl3CG)W=|E=&^oo2xf<6)~(mc z3&#Wt!}EhmI5Fr5Cj~c#(}G*VD}$bJX0R-r9Snx^g7x9TU}IQ$+g><3_UW-?_CEYh z`E394&B~2?-l3=X+dIXg*)a+J%JubiqOW=5`9h1QEN58D(U?JFs^uJ4DA(6b#XIEh zve&-jdZT&u&00sZ-5>biII@<>7NP*01qwtgXH1xmdHMCOv$i zCM$fYCMP@+UF*cp4_~9Tr`NAp8@^GqK78w`=We}yXZX&HGxn^#N#BQ}I%qs;y=&!k z!?yg;^7lf-yv+a4?S9OCLHF*oKl0}qUlvdJ^9w(Je(|d5mg!eg8-H@q!Jk|l-c`MoPn! z=qa~|foK5Tz%5_k|5Y~gPPq%C{TJd3xJ{lwdY`Qm?xE4V?9AiW^{Od1x2rp>08 z#aj9d2sI_KRrsd+Yda>E+m6|e+xl#$Y=gFQwhOjPwh`Mk+YQ?-m| z5qpq6C@vzUqVK;DACl%v^TjeDS=U>ghQ5}XmU@Of!=B5YQO{Ubrf1wU;hD5Wvidyt zJ&(M?Yt0(;#(NifmpIzIskTz@3U7w(tar87W^1xFd2QYTx7AzZEw&x>y1{GqZuXXX z3tsB*2E0|a2)M=GT5rU=)7#{2Mms~^7S9-I^`@fkbZYk&sL6IvO{687inY|`J&2k| zy#>BZ)@8+WkGIKo7EfagW1dMhq0GvyAdxm$w!{{J-OZj++e!4GDQjiPLeIFj$TR8c z_MY%uwlxuWPeQNFd)j-}GYWdtd)|8y78iK#+nRNN{iO_6*tXDH#Q^QDz1}O1Hnf%s zTM5t_!&UF~tYf5CZ-q4geL#Z0>OeLCyf=|gpw?~7#-#0_4&J-o2e91j&467?ypJ8- zKG`$ui}B6p_5r>`M-H_=qiujM$(M%ticoKTD~Zy9I9wZ2o*~}>w(V{3G`{aZ)^SJc z4EqhhWs|mM-yvUz@35m)x6lYr;}g$!%y-;qeY6+He0`1(&9Vw)hph@dwFZ`OdA4UV zt0U`>cPH@StPaOk9^p}69%g8#uh3SyWzwg3#(fTWZZl;2ygO~}7|YvU;oIo7`nLEy zwv#V)_{tn@{vq#bU(mJH(Uz6wtM^>?HA2HK@b!N=frIGhsX)7}ihWi19#ReV=?;ty#=#cI>hSDFKTl(%S}0|m^0)dc z{1sWJT${2oUpatryJc&}N^{%VZOyJ$f15AS-|0W%E%tZ&dtuK|R-eD$R%9#k5BSfZ zp2I)nANF5%?RHz;)~rnb=$2MjE2PJ=I{f4Q32#?cq960%Snlf1sz<$2@=wf2X4a{! zUG5^^eA`Z6(AJ!_&wt9+DJ!q|8we8<%sJ)Clc{APbFjVsNG zH^XHP*5FGW1T;7Pwf^-C z3;a%Ae@E1HsME9_9U-){$-mV#=Bn`fFasff1KQl7&ntG+TJ-o3txErH_<08QN&v4= z+B;TwoBezJ`(2OV8xinqZY%Azfv&*OKu_RAAT_YUKM}yL<+&UMbBXHGQ1bjVk(?9uAhifu$+ii7W zyjXsuf2vIHh7;-6g|x~e64S4( z+M0d?=@!x*qyezRDB{YN zFIo1X4U4dg7cpiV1ifRgW|1Z)9#0A+w6pdQc&*ag@F*atWOI0Wbb90nW%90&9P zP5}l1=KvP~mjEMxYk(VoTYx)&dw_?4DfgufW4VP70H;igF6FmkPSKHw^s5ii&Y1l9Um;~Hc=U~Gl zb-XEe2(3Qaa99EH>R9sJUeomMQ^%CX%dt?)mjF@$E7b9EWN70A|8T5U^|GCprfntP zaM%C^fFkX=SRH>FJIWnyz-BNAdjmRG5EIBHcNazwOzr`E?THFq?reRDKxeZ+h_ zS^x(D?W&JDy0ktV)qLQbYNMk^%TE|=*T-RY4!?Jt)cha5={OBI3pfwB2)F{cs?Lw& zy1G6bH?=i#PXmwU$6Eg#w*hz6`C}U?f1viC{F?j!*nO!)R{h)9?~<75=aTsv|FG)& z>_0i$TGDy5b4{is5j56&2|=1l^ICAKc?rg=1an-Htv%;y&lr~y#lR;y_)f`2?HPH= z7K5C|H~I*#@oa4jke7Hg-)WfnO!aks3HF+jpysEyw6znf<|W!%Ap2(Uwdaz?X@0cd z?~xnFoX1)lr}xUEb706zurIo9s&nVU+&d>#dt7%_{jLX^owRojs{URAA1I;xkjg8; zK3ak~EIFpGxsv1RzERSr`c4UrJ=LXof}fXQUoE+$`5n#Ah&#HUmcXw|ZfO3vR%;t$ zT7o^dDKk7@Sn zxxTmesr!|_mZLf5#C1@u%W|jGdF8d{(|iKs?G9>dpg{#U#>@o%)LjOn*-V! zqWxl@>VxhBs!sPIHBRukSX+DU4plFoi7*fD!|J@y{!VM1&xy1T9#hvI`}74(-*NZb z&)u5t=sM0($AS0y0S(Yscb|F=qJ79s`|F^(Ur`-C=V4svTRZ&rGx1;Jjwe+~!~B`& zJ@LE{$p?j4t{`s*bODY6dH^Q?CjqAcX94H6=Zks_hknc#J3cAaF~pHFr!t4Q9IyfxXE zT#+0~Zb;sdygPYs@_szGBDE!VCLc-e=G2?qpFEI!CV2?-aPsBk(d4n@@#MwJ_AcAK zY%lWtNf(kXBrgWl%D5Z+-etYX6Umd%a(~(IvY};XmJPsD7QFrYqWq3NGryhhS$+%O zVtxzXQhxtl3cq>pgZxd=H2$XONBC`fALIAz;P3hhe!rsn zWpPF%thj{VrkKL-QT!mkK{1Wrl(?MVk+_oIi#6~6i3a~&HJ$yB{d+KF@m>ELvVwx_qL%NdhV$1EFDic*T$M$KNzb^D^W zJvhK0|rI4*(vhEXB zYm2o-#8?klzb58dzi$1yh_k+CeNDt$JFMRn^Q>LgZ(|I5?T}XChSi*^8DR|3go_oO~7r>UwQrk_`AVt zMQY2>1b6rISGc@?X-7hT!loq;p1-^FR>E@7q+?S;Ct!ENrlnKJNp7!7FFgz`TT$A8 zQXTfA?hWW%uC?h?v&gOV~ke>-M3}Ey(sy+Z45DU&8*VJ?JOv z-K_!Hwe|VO$Sd-*6MT^CNAjT$zJw5Xc}ov1oywQlC%z`XhB)LT6Z5UFTVEH8`2D?!{I=c?{7(DXRsg+$w4QggRQ^8*rTjPd z0&SN|Ww~AamvKp~H^^lR`a6GOR8GR)^DTI>jNOOZ=hjlWHTp%F81`<#-fd>@wz7B6 zVeg*H-W|){9S86367yIS^+9kSEZm1b;kN0`v}C#3_J`QUoouxv8lpT|cr?q6a;nvm zDAsttk7e)G*QeVp;Y_ZMcQ?14#=PB{-KPz_3)*-a>b3}ZF=^WG4G@}Z16gj7u3eV$UC5o zgUg^^@qGN%0tqxW^2x_>B1Ccz66}hE!n6H^-O82S8B5YQc2_*Mi>6DZ+RsQf+(@Lk6dr8g+eY328FwDaKPVOJ^`vTds|#UwJZFzij<7 z`rK}9N1wlC{g#m5e+uPFvg`De#99%IjK5ilKlDO2k6-XI?)!>OZTU-ISHk1~{700;&o8wBs7jXej zOISXhWsPa3MqQr`&w@3Po)w^1fMZ2jF?5y2Re@6#SIamOx08Fg-`e>*#0*-gqo~)b zt-$5<)-Ner$`j}C+%bcjE@eSZ5_@NGQ>7IG-Xm&fa1*5@{*AybGq|zR0^aY|&fvoJ z#AZBa7`U={APNmT!*WC4-g|{Fl#j}P3yJT@-PqZ`Cw~uT=U%xNHT&c~jLm>NAm(6f zek@|-A&k&m`Jd#=B2K;{|3b`@-<01J&&XHhtGNGOldp*c`G$N0I^UAt!ftaR<^pz` zpX2oXEKcA52;ZR7`!^VWjqx|%Mt{RVA2QHw2KqIPM&G5)QYp@OnOfhUW1f@l$Nj5|am3Ln}GDHiNcSqbaL<^O7Q`|QA#B=QDH2;G4Zi)A9nfGoJ z_U=Q7{%zR7=ggi7>%q6p#32L!ubAF%pqmY|^$i34wYSl)8Dw5F)P2pM^EE?TDs7OD zdIR-$Ip60O^LziP$1A3#t*Z6yj4A%c3X51OVx!y{d)NFdK!b428=5y{xk`B6sO7HZ z1k!2HWAnx>Cs1-4sRwk=yb1bz0GytAlPI~*X_V`YBTZ^)NKG>|YWi4PkE$uEXOzn( z=H0g@sR_JCR(U?AQB0q~-tX=_E-mIB()Tt+{4F8O;~Gq;5Pt`75AsBjsnN6& zvCJKJ!c>O5ia2p60jEueP1%si<^;+37}H85^*Nhk;!KUx`rNE50D4+aA-H%(-NSKb z(yh5yfiJ{nBEOA1Tbh#k=H8xrH8xMWgZwUWVhaI^oGuMQ zW{?vkQPPK`J`Y0Mm0236^<8CMw?R+qxd1Mnr9nA8))Bjrc=Cc+hrB%2@y8o={%wv& zh7$yR?iVvv_}goodZywj{~e+U-P8s#~5c4Hpxs! zxb!gNLB=Uu+ROAF#yyPNnD1uXz<7djGh=Scynyf%r{xTK`Vqz_%@h&8Y>tD>fjLWo zzc*(!(N>ADWgPgoDxQ-7JY-!?GE{2*G4nrfO%swOiLmJ?apZqCe+u}!giT2*wtSH| zCbVc`zTCq6i%j1&=TfP~&$yLaN#nVoGaS#6yhM}$Pw?jghn~Cw{>7vLRM z!#b;JJz8Gpx@xUX=Fpw~%$yYF3~=dP#?;mU!jC(7R2wy%&Z{q-=~TwqG|o&rX75ZfEl%Ju4TEp}I?<+Mgv~#);3j5GWzNqC z&lx3b-D`2P2HX@a>8vx6u=%8A2k5_MnXg+E;AaS<7SU@6TYky-i-b)-k$(%^hg%oc zJ3Lx4H_>m6nRXFv`Zd{OPBJyno?ya`D@~a&kMi?`O}{X;(kY$ft@BOrc5^ym)37`V ze8~jwHC-hclgG4)_9dqRNw`x;=cQwUu5ZB7L&ighQJ`h4Wywcxxse{o zt0+>KF;=)c(ta`}eHQdS6M7^m@=u|8p@bDGXKVOh7$0VBB=ZsCOJ|7>4R^ToDz{7c zEyjuT9Rpd&AA(F9)7jGZxL?2Iy5D8~UvsS=!iFajjnY>U#}KEapYdLS-PqhnE5h^> zlaIYChrMeP{XLI8aG3n(Nr?CG4?MXa3A?|A$c`pzJd5ca9?7$ zFEMOq7q@bj@paZP%ynrWUSJu+j1)3H#kiUACdO5S<&{k5Fm7eMi?BJ1>0zdmSn?Wk z(imT7+`*+?Ov@~jZ*HO!h~*KT^q4LqT25iw&G;IZo?v{DOK&ngK-kp4GKW=3#+MoI zAS_*Ee1q1SJV>-DjX6^+6GPbSoP&`u=Mgq_%*my7%Jc~Hn^gOWZ%*RUQ%pB8y^AH& zh?cK0zRY+J@#S2Wbh6}IjC&bBW<1RJtXh}OMd&->!y2aZUJK(r%vq`Sj%evVb6Obh zVVM;A)k`VD_?(6-cx?SVZX|i0@lB1UT8ecA%{Xgb&78~DwRGMl8B+tFS09p$`4W%A z$9W7JsCSZ$JX1QT&It8FI>xmYGkuO*S^(ODwG}-~~Zx2gOFkQRbXE-;XSy1`(w6im*? zGm8#J+!?W1V|)(-?*TWXR1=nWmBX=)=3u3hQr-+U^MGAxRAg>Y%Vdc?YEL+nWJ|>P z2^oWGc2i6C5cC%)vLf25MrkR02BW7{czT)&&;6j0+D(DI5tS8_>2nrgtPve+=nLeh zEn2G;eM%y8jLwtw;PwLMYB^%o09qlE8psO~Pd2SZW+`Sh^bH_C1vZA}Fg*J~7I_tr z$}Yh5@HtrsrBA7-ur5x+zb@?~ zS$F6=yafx#>Fknn9V~;Btg4+fYk|^+J26L2C)E>AV$u zfgLDvbNLI%^S{x#2RRFRXJB_=KlH+b`sY_mYYppe1XjmOx?VUPDFC(QFsn8{|q5PAJVhP^)QTSysRN&k&wW2~0|sYR+GIUF%inPh1zj3)Ik zky?^0kwsUxocFdO&r|!|@GucETSL=T%Dwb?jqqHAjHdw)7m%4JAhrpZ`NI*jl*y-H zRZan8)W0(#NrAUm^!)?+l8qVuJ4WOO%A^MF7b;|XlBo!)u*#HkDQY~SsEUQgh>oU! z9&rsFT|+#V5#;-#ryGzlT(A;{z(Y1L7p2aSHPDMv z$S<+F55xZ+tU)iJ8C|E=pTQe454#w%I)lz$g)U~k14aQm0bWnpiZTy0qsV5`XKKO& z9p5l|5~A3{|9}`J<_$oi$VII3ZC=;;9;gMVYX51!`C zA?E=kg(^Q{?^OVJb9Lw3O@wuzLaCgrCN+G?r{2D0g}`J_}z_n#yxivAS25}-4` zj70T>A|H-YJ76ks2+cXdRk<%zlP}Dpaq{$eHjDp2*kdK$(2L)2Hy=w+a`?xHXBw>~ zJVHE!5Xm|CQP2|@WgKjNhW{6^>;s#4_?&<>H39MtSf(T9mgv_IX{1nU0nJv}gkTMF zU`%4w7dRZ6NZc!n>0Ll5g?$a$9fgq%;6Ib7j!2AAKgv(^T!>GmJtf(a+8jnL6;J&% zK`wQp@hd$MPY8I(ptU$_eg>V5XdS0v%J%SVCEUbZB0Cx74QOt_11Heak505LAy=S( z_g#WBnYK8GDWRMTXQ+)Tm)^~V)%-P5%Z^$qbATF%_83ruqvtj)sVwxx$xj)TRmHTf z%A3l{-n1?DF76t7(DbD%n%{wYVj3+~ErWh3e8zBdX)kCD8WGe|xJt)bxQ7UR5cv@r zr)m>=&47)Av@Ux_@FG}CIT3zv z33IFyjfXo5{X^)l&|1pzxZfRvrUIq8z+`9^0F5ZJ)2Sb2C)mHodi0|{`8(WUg8R`* zG(~nBf1Y4}4(Hpnlxrh&p({}ueeiG_9=y@(dhj69R)nM6DLQtL4Jkj|BzSm+c@hix z20Z@^3_-t&IFhjiP5AM_PIWV7o@D8ExO>t}vJ(+z!Nv`Bt)Y2`co@E%Xl}v(3&a+V zn7t@+r)eqQ7q#xvwzw)pxRmZah-XrZJ;0MEP_7mXR8t#e56UokitIvrjlOSy=M40E zI>ywUdq)59aylRQ6qF{Tv^VCn1!hZz963SNO{~rHSPNL!%3;6}m_>#XVkTUKjX(0q zRNzV}CnHzbVr?#j-c!zXwDlXXS&dR>=vPDYSh<1bD0*VRzQtZ>Cwg>HY7v>i5SkgN zi)RGkK0J(7h7)-(;@Js}v~TZ1_Xhj`I)d8B;hMW?sp=U;%}uyNrJ^sLDQfxR9uxw- z5{Qf~?33aI#>=^y_}9jLO0yS@N)-jX4sXF&=Vw! zhCwruBF93`l`QFrx1tO-7l1av6Tn1Z25==X3qBoDD+{nDt~CELDqmg9p3@1g(h9h3|UHE8#x#0*OFD6(B)?+IKaN1jhlpWw|y_D1Dg>C6zV*TUwJWCI2+K_7`$ zhQrTf#0*y1=FnUOo`%K?m;lXY$VEV$ohp_B?ct3_%v&KpguVvyEa-2emN{gMhytU) zLS`{f6mhU`1Wg^{yaG)xls1EGM89!kwqd-YfqPN97Nz4*w>Qv8o>wshy&?Alb^!JP zjzlZlAa?}%0TF|O0Y)KaYgjf0K7t@H5n^@iGBa8EPwlzj) z9{j{%4%cALKLREJM`7*U0`gc3BII_!m8hEmoDBOq*l5(2VhvI(hovs`!O(b8Bx}$N zvKdxNcdXOyXp7fp$wA~@QOgRb4?nLVw}oX9{ImmFVssLyjcPfSmG3C$ z{8x$sRtonH{XIlciElUf8^}m$@O&11!TM#&U^$F3#*6{(h2{KLaPj!%)bxAlC<)1CKyPU704sqvVW|sw zHgE@gqLj4(76Hp)$pUr2p2W;$;33q#k5UEjEA*RzM}U)nk5H-!dvMO8b$$h8@XQT@ z>}V8cKzgXIjMG&&<-2@O94D6t)l zp2k3v1kZyZgMaP^U>&d;`sI+H!`pjkT0mnBtO0^UR%#JFQrbfgdrq3M{%8v$!gqrW z=92ON;=xQ4Isq|Wyfn%wz#JfA;3MGe4K#(2Zvj65uOJ4@0j>)6>5!$-L{9}T=*Iz9 zK?8zV1E+wOxR;w_+)6FL3V7P7~#-J zh*`m5R&di$3$u~KjOCX>(*xKZ8W9)=8;Q5Z&~$_*6NtIWNx2BT@fV?a2gDi?uo9K% zkrL}ssSV5BC|wN1OjBZg@#}$-w~_GU3%Ltq#K}pK_XENM?+0{;W&;rUgc}CEq_G2{ z7aYb&8zZZU`Jh4;(nNkxbw#a}6t$3bHCiLWQlOM8q|t!~zAZ2np2405=Bf((sH8k5 zv4nU8X~v!d;$FaFZm>VW&vEDnz!ED|z{=&NHG=%cH-jwA?E*xF70T^Fsgy}DyOdyt ztwm`h^cJWk&3`GkXuzg~A~TBKvzTapC*2cL4Y{i^ct5Z;Bfp1VTR`6{sKs~vj`JWF>z@Gu5w3e=L` zKcr_41UCV_fd@%n9?&OrAqaSeBD)pO?3on#B#KICcm}2JC^doR0eyc=2m+p=s4|f} zP?_XXs*(KQtuy{EN}m9i!ZUpG3Schu9*ATyTDb+i4>XH_J)tQ=-Hxz<%>9ni_0a1= zGafSPavvzFB485*?1fSdcpC~lj@HdldJY&5{TiSVFc3C5@HrQnBamAGn?n-``55pW zumt!c>RQ2OG_XEe2?K6|2BW~XtAkP>l(wNLNGMr8Md>@>I@E$aTMxJu zdSA4X3lEQ>?+eW;;6P|jp>7Y@KxSE#?ttDH`k9bXm*o*dB5aa@gHURS(rDmCv~Gve z>%d9SZvmPEhr=cx{+B{?4zd%lEi_4x&qG!~z6IQax{lC~2R213@xa~CfMMnt>{kLS zph2%0J=nNF{~p)?7zCV*QcK9z=v`xA6wnBzvr!7>IgAU3*jU(*XEBPNKLi%*u`ltWwn;GwE^BC**_k=svEQBILLinEKw(%bdu z5#HwIrTeBIY#yQ33wZ7bbd%oA1D|uGckki9681guRPKY;ai8Uu%k~L)f~F&KSw5Yh z&)_)C;A4RP^zRW!B-G|5MiZxw%mnEaLhgGAI59+o`8cPdCw?iwUnp|vc*A@la3Fn4 znbW~Z!iU;)oL!{Tm{A%bpD>hSZscIz(#Xwfi;-=L@id(dO+I)l#)>PZ^Mt<5PF7+m zFdqz*;`yDPT?~dFXOsqj+tqcyz#};ty>`3gL8c_xf{&)k#dDJCut_9o^ z4@xphmC_tQTir1t0n#oAF%)2}<<$N_cCc7$8fMf+*&m4aMFm4dm_kv7IE7CVKbY0+ zPFT_^cp3%_^9hovUQGRBdK z;iDPLsPK`Aj2Ii5Jcj8+f2o;a>gou5lN8^{EPh|%j}n%63X4l%jDeOwn^CdRkql*t zL!0z3VQ4-6Z!`)=nLK7Q zvy<7+9A$oCE-_`yU8a(G&eSkp$fi_>zs+#`RsI@(2iH>mF0R-4d$^YI<>Y#eNcVBQ zMshN}mhum9z0OzSTE;(QSaN+tK9v&d$GBeOt8gvlpWu3(e~N1v|BP6d^3Tbq>%{s6 zuGjdNxR&y-aJ|mI##{#FLVq)S z0yF*JV&nsQewF_yGxJGi=CjPq7nzx_q@AmLt;|oI#EZa4ya)=37lD-+5jcqvftMH& z1c?zrDKR3bC?kS~#E76NF+x@f7!kB3Mg$#+5uqMsM9`HO5$a2f2znADLIa5rL0@7- zF!&dY&BSIsI5uvffh|q*GA{a}I2u-CKBQ%qlF_D=u zm6>TyXN+Jb^V34+$6V&cLgvL%W~8Oeh?UGpE18kjG9zukh_%c}TbU6XnGsu=5j&X? z`~QHEQ_KaXl(~(+9Is|Rku9Q@Aj*t5$c#A3j5x`RILnNs!nUR5DBv@u-kj%(n znUNtfBOx*)L&3-}nUUc#BO_!+Lgg7VQf4L$GiH>`Ot{QUgv?B�>pqO&T}ue2Yn5 z*I~wxTud{1GscP$313~A047*7jNyf7VLWG~IZBoqX@*0ZKy(qZ)JQXuNTXpFB}=-vP9{x-aGlFFGWM(QeM{~5grC4=Kaq5=Fs9TCxx0Il6X{@@X z#BVKWP9jpA5KVNGWvP+o6iB0qZmKN(vxt(^5hbf58mEpZMIF(2bwm@?5v8glny8Lw znmVHC>WF5jBT7?8L~^UbPGLuGyT&YiFV2Fsz#W+0Tj?)JnB$(V#4pnLGrh>|o&LMb z?ElfPQch=@{5@C9N@fFDMMcb2vVJ}&=x<&aDa;hs3TH(pMR!FC-F0YOW?1}&1FvyK zEnWR4u@{N79MUDVRHBwHtEDTDSkiwTmeyX*J|LKbvTJIqQnhp)5=Z8?E@Q}+p~eY< znPgAO;+G|O_Sf%hjM!7uAA6eNh-D6YL+$A|wRBT0-BL@p)zTfcbXP6iQ%mKL1Tyao z$yzgKTC?X!OKV75#pIexu4jnF8ul!~T=u@YUWMFm6}ynlB4eD#ZX))Z*#bt3-Og@j z>a#o9U1SFAVfQln?0)tj@y}uJW=PgE-IdW8M`VBbmZT+(&#K$qFIsTfwGya;~Q=Xj~i1sn@R6uui zY#x4%k7KTDxM(>4-JDgBtW0)%ga;e4=k%1v^*rLZpdOz}`U@D49;&5B-z1Ly7G(B6 z?H7Z!qW}Zsf8#UcY6vZ3d`wI;KBUyN&gh=;zMewG=A{`I5Y=W@p>WU^HI+hJU5+&t z7%^0-)mBM14r#8eg3k*S`-(Q-X`1DmPH)C^z<<5TO(uznXJVOfvOL1cww(MYw)oB& zZy434qScylc6m>oOMh9Pd1&~>=4XD$OKX@Wrtv4mG;UiS$0}IXfd2Nev!#RQxxC}4 znF!cftf!7vLH1kXc!bFf;FSjKfItTWu>t*{VW2fIG$|^2Ok{HW7zaI3mnu~Ts(#_4 z;^N1QaxfK}QH_>C!(P#0iSbGC5y@s<;u90%6GM}uNsSg_b6U&eV7kUo4-%nf*NluoiswCI+wi9E+~yB#{O)JRdM})_p8w+W@X$Q1mRnz~n>72zn}kTei!rw! z?GS$G_(Di{=2fz7SIdY)+wu=I{y4#4!nlj`okFI(h&=Uz``Wr7c*OBZN2X^wEeRc| zv1?IZ{qRLzQ%_xQ`NTHD>slA*Ps?U}_%eIl3-^A_KRoGFH*ESjWjocaTR+8|aV^Q% zXWY}Tv}N^~vza?WBj?==w14YwI@2bsI8U!%gM%}Ilf2U(IPZS>bjeZww98X+w0lpp zFVP!n>=Ut#eKXvW@jZTgz}1E8Eu266*3UOV>xJj%l+SzG?mX$Tr@q*q z8Z_s7i9N+0dEN4SGP*=1CntE=+lM8_+QoevC%drtIQxXr(Nt@nkQhH|Tv&3Fy?Pkw zC}I%Fth6I0Vz5$!EKEUADacc{=qGmn_E}^zI>-%-A3y%D8VFDPC!Ui<0~&8jUPsjW z=91I+(}LvaEUE9^C-1sxVWZB?wKlbNofjEwyG!id{?&gEodf8dd@-@WC^Wod2N&3D_r zeZFzQ%g4{{j`g(LsB)R{*1+uPUcV)|$5zh_npW7*KBUc9?d(XmFXFNA$M4+lJ~e+a zd%?Ed?e{586qbKk*s`IH`O&pHFHA@pdrs0=>33;tXM-JD^Dnnwdk1NF=bzJ9>VG9! zScom8MQ{9l`KWNS!05;^#Ors7%)!yw(b37t$z4ih&gzfijG6xziA*-<{(wqk)|__HF3ge`Cqs`CB?~cD--g=Y@+= zz^h&pZyBV_*=h57P{@{N_kV3OuCnQ@R;%8Au+8;q*H+u}^U?MPe+n75#He4-VyDxt zJKsK=y7`?~!w`*dlNPS8Z4R1i>+RB8Gv0hw`sCg}HO<=jZhh_i8l9B^dGF3?wW!$o zK+&$-SNpV>8Qi-qF1ND>uKC=vR5$IG+amqi2W1nJ=Zv~P@>EMZ>+NeAH`g`Ye`1H_ zUfn*2?>1W!(qmKVzWui@eN33tT9IC4-KON&7D2dQUVm(_uZ1BNGuu2nbK380Rjc%C zQ-A5Ay_6Al^$s6<`deZeK^O`7tA)yam#qek-89&#*Ujas>2>L*M`wRbbo8ng`_keD zJjoXue8sMR9v?D8=tsf8+R4Gi-KDK_L}-LK($&E>bd-~;t#fFolWk}_^3k>3$S~2x z(aG64bkyG@!1E2Nim&c93RawRwR18u`pJK#R&y~(N`SpZk^=Kc3e52Nj}jo6%VZ{# zNjzM1vvqQ?brc;$q`<-7rN97@B*E`eV5fgC1^xry$$ypa9DW^Rtv}Fd#`QJ7Jp1TT z+O?NP?#p5KVul<@En!z5PKnCRTDMyJi)T2`TbQjLoMq!&79NFWAd%S zOMA}69(1?ynPSAz_>(#sW_Jg4UcWud)4^?A>tXyB-?&HbCZ>8GX@0TF=lb@F zp`G51JM$XM=H%e$=-}?;NRpYO{Lztq{9oqT zf0e;@Ck_f}B91y{vT}r(nfHp6z}QYr%i=GbfAe&7?J^_1@_Qc1GmQ_}=Q%#FyL-Yr zz~Xu$bIWC**4*OlW<6?NM-}wynYr;uYR|E&x~Xn{ZFz6axH%cVUMrcj(p?O@o|9$^Skf5+$%#CSOr#1-0D~DTjJWmEqCwukd2LU zGtW0z6x88(f#z`T+P4fgj4(1-tWPt8fkIKo3nE7@-(|1A%p^2fnbhZbG6OS2eWj-S zXwp!@3vf)fziJ&zy?njm5OB?E-qQQaN3`GU5WlIz;TyJM6Sa{c%j=kGF@elD@;K9l z@%o!wrdyCU!ZWDVvWG1VzF6Pa3S2sWqU{5}tA`UFZ?2cmZ44?en%8q+^-}NEZ{EIo`5>dYbBCg! zm9GOWW?64YYqq2!TV+yR5%6Kby5cH>jXwmOZF+T4;!^9eajT3!GW|cBh5~bm#+2H@16W zYv1VXqeNHzn)qEEBGW$h+nwoT6mF_J?~dNxQ8n?Gd`qu2dXjpI-+S$|&AsOHatpLR z8?^E)to(R?%QW9ZsuA77M|cM8^nM=jVoyry4J~KQxMtHGnpf!dzw>b2=ZD?&3P&xk z>tkd$`IyjRV#QLgR?#PyELwUl^Tw(c+v|m_ex1KPBWk8jjP0S6(Tqt>VYShu-;HKk z{xqi~W^*?O`<1sJjP3Xi-aT4if)X@PS$)h&;_`iGH|5w?2?Yh`q z_ilAxY(06jU6cDqDju9((bu9+;iWr`11#&myz=7}|H5R^tidzY_5Mp6_Q-DPy?Fcb z5Yg%;GrDThk>_(&_229A%U{pE(EPlUhbfc5K+TLczoe)1{N zygY2j<(=Mq{>M$ROCo=5ecLy1XU64+t$poU6=d`t&`+n5v-up8l_idu{dSPJ=F`-& zWqYbxESvh_s=-^01A%e<_WES4?aughi)hfQO~mG9mDiLr{PI7h|Jb0Lp=R3J^cMpr z)GAh*^wF5b=!w2B4&1fyJ#@;p|Jog<6TBS8Us!do{mdonLfL&L^>%%EziPMQl6lYm zbsvS3C(N|JW$$f-kstqA_WsKU;lIh=df#VnqG7}t%cbNyBTJl-`Pb=pUD$@u|N8Vy z(@QNhTIZLyvCuy$xJG4Q7ykcE*8jI2-3ixv%jTU7;kvfF^JH(~_*<7!`}R`ovP&L2 zBu>X*+ohwE78Ti*>gO+r8(B1vJs)6Z&}YS+iJlb$5A6tE+3dcFBBS8YgzEX1pSM@M ztT?(zOE{a^z2bFX!#lmVEv|f=8FPL5@kiO!O8Z&dlOL=t%@aQV{-tul3cGsmRTT+` z8wadeI9e-lY0*0O)seQR`|3U&8PeHk`Fyj^6)I!Lj~5*LQXD$AP1HX7G@)bNEG>h3 zC$vHrzW%kS(X)X0(@wjz9k%}1v%^!gy(g6hCboDf79W}r9x_DHNXt<7>P^Gt?>hVx z5xmFN{_)3I85jBnRjoUz_h7^3WS63V(_=04tx~i*^jk1?ux}T`!+ZAZ>=k)-t#{q@)E3io8;TKC z-ulCg&*qx9xZLGQ+b4%=x?iva44+4`f{jfS#JNk{qkQQoBVNzoHyeX-LA>=SCezl`Tm5O1n|cvZ3kWP`_hJV zGx)6{??94LwAPne-SMyIE|~am=)4t2Tr=m4vlB;sS4GDmNbH|yJ-s#4i-~5!m_#NX zhujfNGGoT{XHuC2@+p$&Lx~i{q^`4?Zut)vYjSErd}Ly1LR6~#pKdCAnu3WNH1Sxk z$Vs=| zW~rI!^$xaiD@#pYDsxSB9NU-O%R?>RL{wTFIHw_twIMP%G*qCn$+myf=h|rr!lE&C zP^bgav6wUs129eaR0B5P&gyzBO-xNp9863RgTm2QQMQu)G`Z2O=gi^`&54(xA;Sn9 z^FubuDwzkz8J~tc%8RKt<>)zrY85=q=e_72_l%&EgqCXqhGaE6u6f{cwVI{k*FABa zvV%rB+pEQL=8c`ob2(qb73!;xm&W3<3k&wpk#$ygiSK+(8PY@-aXO1f;l|c;3T@8A zdg$rX`Pg`|?S8jwHU=ghj%AFV`Gnr9i};jkR!#|e1;Av{oZAV)y2`g^w7!pSzdp)! z$mgE!CvjT_hUIMwD!;><4l4mmoU2gd4%tDjSHRuYuyW!p12t3E^=RE4-@~cU&x4pQ7QZXH zm(qz!wx@b2!@hv{tjrSTPoJEZ>-*rKO>B*woE=RJZ2ppVhL&*9EUavVjD&wlEkZ^u zLJkg29YR7DEkb5CMj*k&_Lm}LVPW}C4GWM7So&aM*CJ$R=KxZyOn)gt4rb<$5-X5{ zlk0=}Zw|H(4kjjG9UHKfjg1{hv2y|`M#jG%R#w*k{{E2lx1Noikohkhj{k51OCJC~ z`u|r?;Bz>E7=iNsem*$4fX`rJ=K3#K z%uFAn|0@@$gZ~Ughw%Sa8T-E~1F`@M|J&b3%YW4NzqWt$`Dp*QFA(m3mp*79$`2mk z&=^04@^2dx(_i{S4gdE3pVa@R+JBV&(eFc1|CIh0r24M%u?d5WiLIHlIUy@ECm$ai^nZDu`;QQ1S-U_1#I9SK z-z)gA=lw#mu#%#ZJa;5byllLL(J6)W#zR4Wy2p7eE67v8M%O*;_}pHtnY7Z{QQjMD zev-Ku>SX?$t6p7C7>s0Zx=un>(QyUcvumT%oOatgG+3T>HCT$_NQeA0gyojS8=m=6 zt}$D6%)c6GZNt8IM5pz&bED+~!)DBx_-gLkw?@}k{K(&RD+yN`?3&-Eblt+f&%ZM3 zBG5q%sS~Hymzgi4ldbk!k7j~hN)C~_$pgNZO`Qi>HqrCLjGxcc1qpyp;7`R>>Xj%L zgJZ3@f#SHj?6N}8Nqdo!&Ai${x*!P=qhMV#z~+i-N3`zpJD@dwH?E2d=szoJGvw^@ zs=b#CkMgB5MvnR85D6bl$@{aK8&0vE4=b?S9@~;rwpUf#gtO5!6nOiyn0avMHs;&R zbJ*M;>Fe61at3f^XU2`C@U26+q79c%SooYGDnH~d1F*iq7EzyVjZVy-Edr& zBU`>-vGh)*fplDzzqojs3lzW6QW8(L@Rk*R4MW!rgcGY-@1#TP1XFK}vt z$66S2qu56ah)xUf1`V#d)K$Nj3gxr-+s9 z&U{OY0k$m$Z~xnI^&A&D7oUB=FB>P2!H3VBJ)OIIDa}@cw}!nwr=p*T+IJ)I9M?Pi zcp+NF9r&0^nl1vPIL7G+KD%K|mH0gbrd-&>e?HNx3ci)Zub;5j<^W>38FgriN4$Jwm2oGrd_!i)V?L*p2H|eE0r1M>`&1B=uwoLcH1478RFZ} z^^jky`Mk;c&blS}6$^&J`fydMwRt*us~Jd6GtR@Z5k`ylYKo_;)Tfc2*!@ErIt;s? zdbc%N0Xc7YpS(=g$=2OUksgt**eE3Z)=e_k=-1fYfUDHKcSp5P9&~qPWc-f<%G}Fb zM_m-pW452&cMj8=Nco*T#y1e`-XB$=2Gh;0@_&O(#N^3a?r1~tbv^|dA>ZAT)^SQk z*}yFXeLCK?nhXM)-^JaCYT0S$`3>D4@`{oRq1Yp?7l>kP)mDq4>48yl?4igAxbMv6 zhF5HYsIKg_kTt#xeWu2`$~j-wyOX3-GlX@3*zYYXk1QaVV<6Ll{yKn5pQV1=b!y=L z&5eq5hgE-@TIRj`s{q-OQCiLJ@uwP4^}tgx877%L`M8m0(KPgUHaQM{!9U9JcgF%C zM>H01xTDKmu%e+{wHXT`u%o{kcp)64TLk&mOlO|-Lb1lU9G%y9h0gWnxXpT=bXNFA zTYUMdhw8xlZE9g}57QXL{b=gC@XwlBvlQPA?n~6GhaCfU4b-L9PMht*v}MNaW$>Qc zkVlaC(e-n1mR-n?-3)uoO7BNLUprJ9*}n}1t<8+oO$Du|d|hHfiGT!Xy`7zGZzdkX zaEb99O4An;kd5oT_S^*FHXOVOZNVJ4zxHUR_USWX!VVAiZx-RFSrdpwT~7b`^Y{~3 znFs{|0ExCjkm%*(z=Hh}^q2Af`9eWxgLkd>0rE!ott?@3#lA!asKTaU!HE?Bna%G} zC#k&@v0K%Wg{cIjf0~$rCYx81t==(B3IID%Juis@c3&8kI$k)OD0tyX& zOEQe6_za0F$N-5OcPzm7rj>&KSW+4YNq&#Q7=X1Xv-lbP8;$y6T%Xt?yz4gz6)0SQ z_)a0JGLX;`)A&9IF#yq$-8k<^0Fw>lo**6qX~BC`Fh+>p667FHF39`dhccMb5(za| zu0#`de&Jzf{^Gm}*PoH-Atv)-z zn^Kgnr2W&+1vesiCqa?17wDLUMrZ4EZOG3CVMBhp&43HLw6hhj;$`#LY zzqn8>dX}ol_cE)8x8tH${OW~XK&eGe(f2@G$g3`1`*dGwHo&~#q~G?;{zJM6Ni~Afj&xNX5kbA?0Wdj^*kuESz#r2)f_iU}G_b#ol>_#5k)`FXY z_l~U+Z!Fp%T6M1)t(fC#N?p*+dAe{mr8z;;i)y>rgr#>TZ z$lb%Y!n<&IgWN~icX{G=i`>h#3i5u@3&p8>MQeq+r*Fm5>W0QEbsL~7eNfm0Z7CDq z)osNa*9+pVeRU~+=(AmT*^Mgo+LZ}?)m3){Y26gx_`Feo zc`4}@Mu7V}M-<}(IL?JP5w^v61?~xX^7&xod)*Xrf`8@niQb1@@h;#?yW{5yS$Z+^OS z`mUz#qu@L2S1ivB%0Dk(`?qas{tq1gd`{Njv~#b#c5PKtVTJ&r^P1Y=S3Lh*lwjp; z@3Tg|?eKgk8uFVY#sUp6l5jE5cadJ1GY-rVf<2eoy{v!z3-=qM3YA`Ul(G&IrD)@1c7mel=*6~IG4&;N$2A=PMy{uEPuRISr! z$TFOmF_KARKvb1Tbd?CBfwc)SQ|gj@AQLG3{HX|CGjY@Wh-#={GSi4EJ7(C*&r%fe z*0V$hG2-a0Z$CI0ig7z*HVG#`@c8MeTMuDU;HIm>3f-+~6ylTMWZ{F7D#Bz8Zq>Nb zqQj)gq9enk|sjoK_k)f{@U#GQq>^eGySI%(-LESoz6R zpCz}RVNfX&G}@h#78y;{%q;r@Lm7VSJJ%{b@V)URh>7&vkbIbvXpFUaZi-kuysDD5 zIib>h%7QI@%tpSeE?L5m=51#Mz^VrqBWz6;W6c44?4V&q*(jzV&F!EE)8P)8N4*XZ zJ?s}FiNElFXf!L=p|u6eoAqM1eL);8@*i6nHEc5UHle6X1~;OSwzD>o%=U>Se+?Ue z^-Syo<|Gmel5EVPZ^lNHOn)v+oyA>~!@REH#B8j>8vZK`DyoVcd@G&pU(jMm!<@TQ z4*t3|Ei=#&Rd-*ywxZ+PSAW2|ShI7lRKnjBB`%sk(5%q0JFX{AmfvSik~fU`AAO11 z5)_Nd@3XECvbe&d0VSq#`p}e?_6FmgmH-0Dkg91x$fg_%8rA^#;!?z|;m^Jfr~hGh zlw`(cW=pg02T{646)ctej`4eW~y z8B~>H)+m5{*dZE0!qTyFI!_X$*786kUXTS{GDk~wfRFTk$ZmP#pl28 zY~g--Q^RPKZbJ@CSg{M*gbfoDL^v@TA;8ks)c_TjpkwQ3s$9Zygktji5n<{P7oKL% zqG_E$tszS!d%?PC(<&|btf@nwi@h})VM2ZdEN2j&Z0A1C>Qw26T-C%F-g13v=1`b& zJe1?1B;hMG`DU2E%LQ1dmk@5FACMC_@g)^j!12=46pT@>At|-2C+>!=Cx50d3G+TZ zxGB;9mK+$6fj2do z9%qlz^kG{ueD~2bPoP^m%6+&c5u??AyV4572G+iaSbFN9DsokPM`g5W!3p8=r_XJu zj97reLU+b)DYEZz+?$~ER}%CRAXlW*d>09_{raNFlkl??t7K!AOwzwmYZ1DQOnO_s z0iF7}C7OI|%pb{!QSoC+;F?DKRk*goMaIV@Y>%!Va}D?#7KjX{Yk(Se+(5`TpPeEe z(^1VAWtD_1lkVCL<5+~rMkR>w<`HBNQ_)R@=v=v+eI`rYG-;8dPaLvhZjZfLG)msj z#=g2_6e5?B28QMvLCeS-5x%l|glR6H>Tk$ePfAU`V~6%!v{S~`ht6%d>`~+C&> zfuPl&3M-xG^^aLIRWz*Gd{2au|8*xrnqkiGU8JBg?UO}$BSR@Yiipq1|5(noIoU&)mF7*DIzrR-tu{>pVeOMn9f_X1)1qR-fMq{|*s@g=sj#TsZty zMIY$QJbnF!E$Y0GWnP{GaTC!p5)WY#`bpWTP`;yg#lqwQj5=oz?wh(ToBK^`K1;NA zk;`W(=VessI}Qk%_5v_(hJ;N(>G+dk_(wcK+Qy5`rkFHw2g!ezn!r1mKTBnP*cO>B4 zS$~%X0>dLdSQ4Lut_&Bfs1?P(>)cJWVNRs=G#K_wW$q|aH;vUF>>UI|E&oS)MXB@qO{)=jFmbFwqCsh{RAru^ynH*>Nt9k}P;kgmMG&~ff)QMdo} zXIYsYDj|R+$Fjh};)|mp zi3bhnbE|}XOo{Z>Ntx|2VZDZb66PWq)QA7T0PW8F9}F9n*nel&u!h#ZO3;}Y#H9G? ziW)eun_>|Hbb(!02`tDmGhDdKz$#uKNECA>m`1nYf$$V+LE*7@3dQVze6jKb)`} z$JBrRbh0>k;oB#(^7*Wgcu!T;8|d!6enZNM9Kp{8{oq6K2t%tO3egwyjqjD`6X!B^ zs?FSN=h?|w$yr(HDH(WQVd|M7=s0sjsuMPQOzat5v2%8nQ_jvAsWiJ25^zHH~2Mc-tUNWOk*Z0;*}Q&b9;V z%6ct_NBV048$0ep5r@ViETNYsKkA})O&9Y&-Yo{@9sh<|G0r+;MzL{#or?bm%FGn; z{5%u2SV$Cb(4{j{6yqN)Zf96y zd@MlvFp+;->ko6*HG3hscL`q5GGh*wlAg%Y2uXC6QhG3aGw~ps$Z3e*@Mr$BA(aN2 zOm>@xoNJlAr1vdBFM$Cgxe#1>4j>&VC3Qp6mymBs`4b0-!LICt8gxp(L2RET`Vq2) z^#cWUWK!+AMKQ5at45Rahc(ofUH^U8d9gDZ{aAUtW4?M3Uehq91lvJ)nm2+h8!;TC zc|=#C>*p-b=E1H7yoxd+peL*L5nI|J2`l9(wKZ9$CBW2 z%N&`PY6p+~W9JP9c;W@ax-{Yu$P~V))FPq}Fsp;W*~3=`O!qI=V*KuN%frzPde|kQ zAI%!7+BdtM#@cg?JXcfsAsWgPT_KhC+0y<_3AYPcoI?;so6X#r$&D|TZ;o5j$0N-T zXMw*0hcJJua4T201|LM>7h0TmzfTgU@Ah?#l@_aIq5R?>sGgBG1OILd&g>DqTPm7! zRA*1kMs*O}g!x0c>lrU%(9^&z+`htTjQc_*quQrJvQRiRvr-#xDkJ^K(t0i$_A)!0IoqHg2zo zsTxQ?9>h9t&O;vqZ=Pi3dfR&#x zLkX+&OQe2piLVOKI+(KH#cOeuuI+B?ZD;DNGqEueGPfYM;)Jo^1Oz_-)Vxc|Iom4A zuZQ2eK=KNPTSUnhV`Fg+2e*=A-n@iGGBfPqamUS;fl&A6TK42BwdnROU+-mOpe{YN zmB_V(nx)peWp}aX=DhQMFow_!WOCD652un>k$_ZGLsMB;T)zPvBr3jhV`C5G4F(Fd zmKA;NVgdiEsL8#4cutfbk9`y$r3&+<%fWno!ddf`VBK=uAYBi0>NHyT1j zXp69bBF12&Scy1OD$humDuYNCH&)7eP|aAn|J&jQQ8Mrh0bky9O26ZXm%q5c<3-Dt z=0;L2*wr~Nfye{SQ|^V@o|Ye!loBEZ2Z&6nyH=5WDf7=0{T6D@w+~_Kq*yE)KDD18 zY^0S}oj2a{5Iq9yPGbi=D@oVX*ezYKmLO2W2TT1b^FUtJW9!mtdzc~CR$RcVAOzT26vW{gpOKP9Bf0bH z49Ad-*yfC*Ewx51UVCXmMK3E9+d>uYVLszSsL#B4cUri*2@tD?Wf{Uv5@1( z@}r-{Q;@&eE>o|CQ=4ymstU;yu@@ZN3u!tfIzGSbf4XQ?6UQ<45$1qsls&iE*B9of zX_9P&BeJ_I4;_AIAo9^;Mf>c`KDznUDs>T`q;2o_aJx%NH4oQGX}mMN`N&`ps0&E3 zOBz@??w||(;m-tj4%1YNRd%`#g>h`DJ^9>SWJ*OZ=W6;I2C*Pd*h6lQ4!`vYln_BuRb^g?&wWO!S_P;Q`!P;Lmd1fCkZ!$ zuRu+c7@|?6nMb3E$*pQI%#w(b=8l(Lv{MF-x0tMk%Kao<>C{iLv%@(@?Y%%&v}4&n zq66oT>>&|CMY6II`~1Kf*gO6Km~f57zQfNHT{AK>O$T>x(qMzlb0fw zW}Ff6Z;JMfGUMlE)Ud=@?qbyG8EBmZhUR=?QFo-br}QfvNMVIXl%w>tKd85`6Mn=z zaO{9%jt*Ri&kR+^d}aMZ^K`9D6#j(p9HO9j#+7G6w7lMi>OBWrf6x z{vt-u+p2z1DOySb)NaXG@!cx=#E{2_6k-oUd1yOG3_)nku0YkT+kZG1t0x4z)!xB( z%lz7rzJ>2*{gsRHYex{Ke|_baeN6@>G>Py0i`Jovbr!+vHHBSas)vYk%jo%-szdi85T1FTJq@g#k9E7c8O%~BPa zH3yYN6IW#U@-l+};x!8=!5ca%kq)KKIzs#s6K5-Fb5d3uz3$b=xryNiNhlvCL!AVe zxc3;LXj?_t45o{rq~5}TWzNteChr&{`yb7m!5Xb?4FW@?J67B3ghcAM&HspLuYL#1*dz9BsQTJIIN{03@kg13h z!k9Z&7fdZVpoWTDEkY#YW$Gh-sd}LrX+W7eq~=u~JUw%q@t0y~H@M-r;yekXsZK$S zFlP0V_7chUX9TlR`4-rhzN$Y(IPNOE)jgx!_vaOi#Ab6+<5Kgey5}o|FGKt^Y*={vvncpGrZy-HAf;~OJ#PRd22>Lwg zf~lgn_@pngMLW#OHXF>vrAn-<#B2(RI04X_&;{s;$bTx4voA`oZXWic&lG-*IKw7> znpecoZx3Dy91QHiV4M1N`ZFt(|LTcX3f@=DFr~;OT{A_lf!|NGL76wToWvGt{T;3OjnmqT(z=52R+=zylA^3z@V>v5*U*dC z@I`X)xBlR7fkD@JFRc1n5>)x|`mPL<7o(JmYufbzjb`o!YpVupng(m>hS6^xgh4UW zgg>+7*?2FLZ3xDiQ;pXdjMwMuFL3IsB%71R%%{4d_~mUjmP>t;0TUx;D}gN5SS;2M z?ytssQ_8sdUq^2@JoHf}q#Aiv=+exG!;+q@C+3o$p(j2|y-`ohB|VQbI?>#_eW8)* z3_2T3OeVBD{wkWFm3%^f-fW&;Dl!dBoh5P%*3l-PWRBT@kh}yt!Ka|f!sMlAkrlA& zMd}s!0^I(}3HP8@bkFxA+Y9Apv~j~KetTx&1p*B0ak-BK-PIrOG zESGH#^5nod5gB&hxt8YdTx2-I-*81ukPR6R`e>L^K*m(@D3jlq>2m5*`}sExVIY3xZgVov@{HitL8ZQ|vSx1j5- z{5Ce=Gcl=pb2-4vLDMF{=i!sRLwxcjow8DdK(HWpE+bB(cuq4&!#`T2WMfQ4M?o&j zky_D^j7pK~5!Ea}^=ko^V=HeQIRCH*KkcuhDaAm7!46S5sCN>ChLJ$K#Ttb7qHdgN z_aM7Ht;g>pfg>|_=3MCeDLYZKYJm)mrr>_~qEYUIj}7LESK?f1;2pQ{UpfFRL0Kp2 z`7S<=! z`eUCngVqbM`kFg$gD{T1hjjMQ&8qZGwi03Nq{&ucfNABseaSRaikpt(L(%3 zbFXsfs_Rpa;J0&(SsjjNnolEc7m;j?Qk|uZ%okjU=!7J`qS^#pyMsL4TSW>IrwfXI z6CX#GPkJp*c_q(8@qleaw#|#J(b55$RbkoYn;MxE3;=mA@)nz$>+&~wHT`S@2w-VE z;z2E}gNsG1@7bO#)qYV>N4N+f2IW;2Q;wb0)rlI*!N>pA(t_W9qw&lRCcg9c^Lj=G z;e8}{H+Z$<@sBmYyo8gIRXL2@E)6B7!nnkSN=rM_wY``!u^62YZ; zz{40aRa}FGEGa=>vbdPmx^BL?WiP$yRK0W*c4Y3$-A_-x!;)q0%^0s$Z&qWNug8ESIXb6*Ve>OqNx#YU+$?NxR;h!-Zs1DVDN1 zysKj2IOt%v7l7E{t3_octK9t=42N<`T}fF7sOVtUb^4Z ztQFby(X#p5{-2A{SiDq{*0>hC<=;*6XDf;d!Y{M>5Gk$-GsxqlHYHVK?|Al4RM60@ zS}VE=iz^y>di(22c)f{_^p0CPGmUuA(2d|Q(4UqEtBNU}%kD(B4JGKFeBLVVe=WlB z-ogH1EZ)C^?SYuSL@@dqYxbBfB>%K~vwtWg|F-+QZ|-$>HM=h!lHETLG6EB_Dv2j-+uJCdja9yhly2KQ*DiMaU&kyY01uubKZ<-oE zMXYjpDKo<49=+qHXTX%HN8=B?ZxL4LNh)^U9Y_46px1u~UA)Y%k?&jB1KFRWU5byf z@#ugztCV2<_&NKe3*M~{VVC6!hR3dQH!>H=`3J)5V;>&`!2ZEQFpgjM_NuZDUezCh z|4i@D`ik-gxSeBDEsP&0Ls-qO$1XGM9L-KtZK_sjH%P}$%LckTnE0>#y?7YuHc7r>G)Y&fc)M#59&+b(xZ-OtA&$yI*SRpsZ> zWLbsvt_kI&U4;)Z@T|^)92M;2l=TwENJ+AJih{l1Nag}~Yk1njXoDtTCNQl;i~vgL z*suG_Vv1O}BNihMesr-y32wGUNZ!BR-gSDuZI*vix#ZpE+J2g<0+{X^?N`6%y($v# zJ;m+n{EX!62w&KDWb^oOPCn8L6Qhk&m-qT3u(>tBdS9w8j%4&J z?mC}3{5q%ByCkkGzav*0mJYykMZTbar2pZ`WH4gisyDluLOx-647f(!vcc4z&`GwM zj2p(aAe3ZA^|L_J5Y84K$Ll>rTp@xcxt^*SFuMYGV{=3P^@@5*R5IF=hkDd2+n8L9 z3aD>6aQhAxtFV}TB1?EX<`n-4jef|AAYb}KR-O9SQS5aQaHGrQQ;>*wEhz=f7z=0h z!zjc7L-;b|i9i2*W-DHQ#;fDG9b0-#fx0MrV%Gr#VlO1Ry&xDMk092X>pYCXJe=B@ zm?LPNd?Q;gqbEpYR&CB0U6(6$LrOJ~_W<%K!CTt1H%?7;Ke>t#{jwMN36Zy7cBk0> z;hNfy;18{zKR*d)FlgI@)5t8~oR6&#G?le2kXBgB%$`ev4+Q?RLbMw;WWkl3@-B2M zNc*m?`{(9fTX&S$evT`K#|Y2Ui#z;hK|6e_`C48iti}zjlQ1jNnUgh zHJw~J6U)aPw+M&)lMm*UV6*#Lk~p5rJoTT&w`DKM5DF&U65PhbPuy~>#-VO_4T1kp zI+5i&{|*bCBmf?3`&lNKtQP+=lwud|@v~enmQ-oT&(@@Q@~@F{c{ITMqF7UdR2%x2 zo|nFt@*C5yN_p_9W+6KL5A7(=t-Dw05Dhtp61H-e-5WtqVt1l=p1MfG+Mrm0d>qh< z*^*x!G(^y?rhQWLh6seFSH*Nm8NRoS8Z^Ek-B58A->1@T6C!t!N;?W5$%DYQqCV>e zjW*`B$OLJG+ZbrT(-`1c4;~6W;_-z3_91u&Bj`Q8LVNW$B5q88FCK6ZF*+?`jT!&u z$<-CICFZtwga5|zmgFnxcO){^7t)4oOX)_|lA<+jbL{F!;7D){<;%z)m^0Ed))PlU zKopHy44n$o0u=`(4+#<65`rS6>(+AVpd3(D3U(mk>dBUgk@JS8O$Du-$Su zemJdbTBi&=48fJ{?ymz`0L3;D!PbCmNsVFIMF_d=r=AS{=*kAvmnX2dAAnPKo_#G5 zBWf%JzMDUf(xW{y@8ZGt=JN)3Ebb}Y0Yy$3-ZI&R3`n$qG1`-`u1rbS?>S>xwW(3P zws#$wV~RKOj<4EwPk?j8wes7!q4xLi8C}d&zr>Woo}tn|pH&m(+j3?f7y@P$WSiq_ z5bxu1LHfO85#k@dKShoOoiyns;$a5a-7-bz5{&9r2gn@7ksK|q@*k~*ShZ>GqT)r{ zOJO_38CXZ-b|SS!UYEvL3(E_j&k{dt-O!Jk4u|3z?9>Ib5-8~T|FB&F)P#p#dW7uR#Vyl`2&f}QSL9f!m z1(A8sSUB*Uo%=n5uq1J+~ooKE* z-_r}zk_xhXzu>UWTU|e3PH%W_XklY*9&}j{yxO~E`)*=b^mGX z7dh2L(#3|s4F~eT`ByNbJIPL4?B~#!U=H*mr056rLvQM%_`kQKVHj(eUYjMINuVkHBBd4?K%NVQ0#b~y5RaJn&#lV_)b5H^$l_V=W z>*BJ<27QVas6NUBm7*f-TU=rBn&*y zV@+)jCCygbGV|ouTgSh5M`=$Slovm-aq7S=AVRVsGG41@9uVd(ukx^4j`uPz9y^}) z%{B>misUEo>jNUMdS70T+xazlk}pT|b%wI##HqpI&8Ua?R(w#ipxvtXd$uH(r(9(* zIjtKpR2L?1J(5qyu$D~Ec&QXn2f9nn2EL?`6nf2_ zz!B3IHkCA$G=~-v!P#cvV}zX~@g#5y;u7b%O;+2;FUYj!620ZLVdyt9)|C5P9dI`v zZjJi<7@xpiQs;nwhanLGPf7P#X_3_2_AU4+>z!J=lwEiGFe^JucV|HYyso`}D{ndS zSwgP{*GRoa8?4u`ZVC0x6z_Mn+F9Dt*sZ79!pZ^yMwo;&GFc4%68HA`T62QXvA)b@ z$Of8+d{7f-j#qYe0y`odU{qi_9RkNjqPI>?9g_a>Z}5Bx5nTEQ1S^} z-?94bV|JAVUdQhP<}=*Iu-ME__f0r_M!cm#;LcQd!479?ba%=^ub&^?e;XAHq3lU2 zZ3Y;Hm>VV0PhJ)c81x~zE*`utU!mSKrjK%uNo1uP%^JmGT179MG|;M#RPzPFOQaR; zyv7p_6i;J*b$)g>pJ5jco0>WDy3w0a6^Q_5Gl7KLmg2$~$_N0>HMPY#TVU7h>)}aS z^>1VAaFMo>PE|3=F(q7ayg_q9U#r#(5F+=6<3KXJbdw!{sYqvzx>#wW&y zi=;e?R?c^|W0X)uhp#duZe1qS+8M{RV@stDmQo|&d=X*>KV`a& zE8oN1p?=Y7BJq5LijqRGCU<>ALeV6-Rw!RP|3Zv;ht7jJ9Q|WA-ru^p?l<^v8&|nO z##;}Y8YLmvJGi|fWbbN|Qj}W>_joe%M6HVjj9Ji2=mF?)C()mgICfn2Yl0ezgYoxu^%2le!8;3F6KQ zbLJC1bzo~r=afu4Xh$6C#%4^Avmg@fcrP@}3-by_`Y@-%^}))lUsR?vlU$ey_#*xI zazt-x6+|>JSce=Wpr6Cv2!kgNalr(TS;uzN9%*?gYOh|ZYh)2R=2CpC3c+OS)|*ua6IqM*FfsGYx#Jr5(4!Lfgl4$#wckUz1uEPR z!NSrUv=@bVRA$g_L;TEh#HZ$s-#Kw`ShXzR2XA8G^UVi*?>AOh^!fwC)O3IMKDy7> zUDD*FvseB8Yy}e$w2G*0@%G_vf1}4CG5#$wt_1X~yV=BhHvj6Cx6k*aBjTAIM zA8=69h#|~W5N4=16`x({qO8;}Rn z(e_+ony|NnkWEPqSEBGy73QTTu7(yu1Yy2&WG>Q=TBp?8pi2-&)9N`9Ca@hkDHzV@ zO|&Y^j<#MNRk*c-U4wH9)9l`9ygr8BS|OPj&Z;ppxN;F~5lPUci;|TEIJ6t0DRtN5 zbj#|tWI`K;O-mj|Oonw{`%TOa5PP~XyDn2-!-v!nJE~mhdB6>SXq#0vX&EVuoK3x? zW4J5{=mmo@<0=YYW~>=ebEJ4B?Q{AG2Ta7RTK!ZhXv+JMWw5RfMiMl6Qejp(CDBDO zHuH6Hbv{$pvWwoiov^IkT4juWJtj$c&-;GB12@93(d1#l8hxfRR&zTsTVlER zRAC!eN43{Y1qZwKz46OUShcp7@pPnx8xFu0v&qqX{|TJF*G#at;BVsbrMLjyz6 zz1hLp!RugpdU9eYHYW5qXNqQuJDh4EP?yM4-JBkhDCqU|^0A90bpG+SRdjIxMqsZe zZ54PXhzo&jSLJuUB;zvj$tE?Fq0fuS~YYIU@PMn>|z+1Z_qmbm_(D#8h6{^sE$ zld1fp5By#CZYBmq_z&SjQ^fo6e*zI4lSd0B2Y-@lhr75&FZ8?LPsJc}nt`Lw53$L6 zx{XJeW<>Gt1w5sLakaqMpQA^8l$xhDD#nxH0%E*zJYV~9s;k-`ZVIFJ=k?5IG zv+l60&K^EhD+Z|4nFOhhNj0?`5MOcU9T7Wbt_-yOQxsb3b@EGc6+^Ttz#}=HdtP~g znG!>VU+oWL0tgs00xc_~&D2vQlFkU-#wJFqhEMXUVrX${Gtv%RL_;nSk*#|X--0Go zC4E%bM7o%om9Em1sFKu-{G;f6h_V-iIuQ?K(l}EWy_F?V(8e;7c*+cAhjQ+}#%MYm zerH7rnA^i3Tf$sS3X#(nzw+POo$27Y_wm{YT^481_Vv4Tcbzb?2@vqgsQz=*+rMqv z^JudizFTn_=qqc~AtvMPk6-qU0k~k8C~_!zvb5b@q~h|OJ-UmQmAhXXORTX7I2Ue< zZ7=p6=%CN=^n;lv+P~3*M5)b)5Hx4FK2SSn%)kyz?^j)JY1&iG3Wkf?#x$n}T-97} z9Bm`2W3Wy*O*qlJ(7IGR@f59FZqjy9b)hhx@{rCRVc}};YS2|dRYG6x>QS?+P?(0L zo}g=CKrEqNG@QMx;!dfD%M=p{cPQ>XljMg6uB z-ETzc@`H)oVui>+NOI@_$L_th+2vP{T-ca%4N8&|K1KnyK zr<3ZThhLjnFXr?8F3WW34?M8rxc*cx5JTl>Si~m5SNuk=i~Z(JBLgqdtV(*yJ+<8( zz7=;E%X)>0b8!g{Wv7}Ca4Ki59oqe0=f!LbPHi7lZ7U=(9N=6k?v2h;9Rnj+(#^X7 z^^IEt{J`_8ep{_WWa|DWBO0{7Fom8aNDq<^4_epO7^sCLH#z;?g5&A2p_0d-Pr^m* z$ZTh2H?s@JFLp`04pw(7ZGZ)wP2pq&rdD5Dm3T74--Uk|40s6Zy%l0Q$YKmW}M6H6Q~Bkhbndn zm*q?4AscpR2Z+DaQ7+std?6md)@r1-A8#@wHaKlH^i&l7<52TnMbCG2_xclrsb`Wl z?Q;ZC@@t@o`BdW(#H04_{Sm*^3%?8A`?h45Gval(qv4@V_Ej%%w*k}^CDiC+^o_3k zw5|rdkVjiVZyLgK*AtI|vcSa2f{8%6nRH~+zrM z6|UDEGIm#XX>vCdvL(UF^^F zipt_RtqM`O63R*KGXdDYsdmAlqC5-C7+L3!TH6>rHr_Qerr4a$x(Wz?6QPPMZAP^p zdQ04syeQ0twf}6~Y2J(@X>dA!aINj|Ocne*d{*>nzdemHXJNp5 z(Fi#N6Qduc7flPTr@-2#P4aYKZ2Zs8Psib8o0YVx92;FjUF7xguAgSkUWQ)C zUO#0oe%3NKbyir*w$)NO_&q7NQ`~7y`i1u>7ZaG=ma8Yy-w9o75&c3wvgLF~*54Dh`22*e>eIanDp@jT3vsI)oU?G=4>bWs*r_ zSuI<3rnQIoos48-NV4=S$DTzPxfY4nq2p0^vY8x_MEk19e!}W_Tdwz!jc;E(<=s1K zuWa%RtySpV7Yq=MD|27p|9bvlzhQtBJ8N9UADqD&7ZR|1=SF&x#|BlMQOq3|Ioom4zjIx6&Qk664Md`a-!dTttcs zC^16fVtiqIMf}mY5YNC~Rg6SSDcS-I)DZs@c^EQuo9a1d7GI*KL@jx=gkutJbh?l8 zdwa9UA*j`|ExqX$_5eUiX@k{7F!%o(uB1^px`MU-^o8e*`hx-5sJ)7BTIc-kIn{71 z7yCa(|LE+L&3NIHj~1}j&qfP0!5DQ>F{@I{#b7L6UQBD6hc=CEeu}(>C4R68mXIaE zC80H>E7%p<6xtg4N9gB}WnuI2W*T;ei^483CdLK3(_UnEp^T0jn{?+pO;!I;LhiF- zxPK@vE7g%uql}!gR&67pU<3#$4F+7nV1P6=5mFf{c7;O41e!uYE)0Is)JTCQ;-R3^ zVIZVmb3pWie~{^j`ExTh`}F4Usv_G`XlMWJ&3-Rf zC!}*Vw#w0^W{O`XX*s;d-Grz{y|d1&JdfOaPAB=pXNJy{>RRJ*2sc!lFXbj*Szi)o z@f|`1d_Q6yh0Yh9PK?Wczi3Udjk?5=5`#gz7z~B%*8U-b7VVNO)BYj7tT-Ye&xL(e zDCXd(7?i*s3JoIQ!rO)dGI7{#03j)Az@$s4!aB;EGzNOyE6*%`I+5k6YZmzd?Ea=%W@ z!}*iu@$=<%S>uO9YXXArjKbij{?` z5~y;Ls$fu81ue1`2XrW^*(=60-V?6OIZN$P! z3n2|nEyp611wo63=u@hgO^d^d%kPzZ64fcLXf=fWxRTviaYm$KR%)`+q`XjIvK=jw z+`p4L{fqn?-JC)3Di`>N2ZzhkgO|&CClQEF6hs|g zQ+;J%NnnY*RyiDaM>$$;*yQ=p|C9H$@3dd2Hdr$Bqn(~3kFQFAfN;y^@Tluoh9o>ZCzVcLew=havbfsB+?xcu(8FHst=aFtg>i62)< zPh~Zfc@x#dA2>H>P(ZWrprRDr(rig34VF~UTjU-Dg&<**>i-ASeGek^ebYg}Ir=6G z6dFOSv!nVjUwrCB_xzzZz%VsX)|4BcyI@l4w)JD~e2mV`t@<_k?R%ErH)BvZ*Eq=& z=HhfN-IRU4e)YTu?_oSSH-DUu3iC(`D%F&?WZsqSPgJTs6E>sjiA%eBJEX zYo7VRA9IzKQS$Lu%f{7A9yj>X6~CMM_zBT6I5Lo-ItruPg3%oz&+R30e*X@yzd>di zVSwmth{!62+h)(3LvJJ`%Ca#MvZH$WtKWa7I26|VOG$)^b^}=m4CHcE zHWUhsK|aw75${-Ux0mxq#4y$cW5YLxyTg2VACwa>eSSyu>WM7+Q0lgb_+etOPwr-0 z&Q|qj%X$xznX^b5^XK)Q}FgZ z-iX1`V3Gi{K6C2MMS!XNi!o^8vWTG|HY0qPKe|Do0bF;DiR>1K%lYBZ<1065(v$X`zUP+yarxdYWtmYMlu1q*3DWzduDuQEST}^UgtqX;q?E3y2wyzv?NRh%xELvDhE7WnDXM5! zW*v8CzfC`+9jB)v$F-^KMCJczuAbU1MlnXTn6OluRZ_uaB)lj4%Z{CoLM#Q>52&G1|3CWJ836Gnci3Va6FoEF;p{ zPlT+{NN6JD2t7-_K~$jPd3n)1RRQG+o@v9V8;H|`)}u4^)9A+r5@>}27@Z$v?b-b? zKCqXh4^3>{I9p&_La8xiQ1)zo9Gf?17x}M1z^*rR&=bqGwyWlU9UACdJLg>4r$XbJ ze}3!w0rJjdZ)N!1GZ)4(7sBav$%W_UgY#2Yv^Nb62;_<6Mm5OPOVQ8vARqX{kv{2YCZevElUIOB3`W3Fco(zi1A z(f2Wr(2ohn=?Ufs!gKy+U6)6!1UA5gf}G$IOn?-Jg9ls-L4p=Hi~ToY=Filz$&M0e8V}OYPK|@OG>eNA z!i&O-jZ!QE_ArWq^MbRM02-W+bgBWz@BaCFKt8?dh9}awuJ^N){Ni=`;NEk?x=ycs zp9pKuKQterNvC(+zDvLtA)fox!e6Q1Qa>VEi9T|*6=VdV$B`0x+N{2F%+Gh+>papy z^`jBLcumLg9`HHm!=)$so-VymdM*8G>9vkOlw2Lo70%Ux)!|hg7le1P_Yp@rz739p z%=CY%z+uQOx(Xf2aMqcFNJdw0&LsP3>Q7f3W?#?LTb)-|hd^e!86- zX$S4S5}Rf(W3OW!B-_ibW%samun)2ivp;2j#kx2)4rhmwM3#zpvx)(qnBP(99U|NA zC+d|78L{$)$w!pP*2u2NgOOvA6A|a@kv~WN30J6yG}D%Wz9=Ar9gO z(Is?|U2U|b>gF!`ZJMmo7ClUFqaAc~Y4=9x3|eo+b=Og2-RaRYqsH@fxD=j&@iT&? z?;(6rL+noYdu0A7xjJ`dRw{Je#%wEi$`eUONCFl0QO>S= zNkVlCl!Mok*|g4Od$K;+FB6`WO9mNr3DqSNLc%KpcLp}yj$XM@#l|VSW|0ewjn)bH z14a!3(Y^FWO<3=+X+;?CELMtb-jyBtw{?Ya^*iTh>|X6{TyEQW&hQrZ?%rHFT57xV{wp@@{LWn$UD=gSijkmVw6v~R z+di~^aCBMW{`vc?w4g^;FJE&X=vjGgXIEK`qd3M`n03UVcL@_YaIVFRgDepi!X$`9 z{hAV<0)MgMYW4u-l(QV?8{>I_3loF@V-3q06Z42Gw59_ItGjopIh>!#lhwSHAI^{D zkLD-x(|Kp!=Og&25+$Przi0`dDpFfGi$xG37PeiTO-qZsH55NJiyC}@U&D~08g zVFfXfHY51cQxWQ8hJm~0?XLMo*Zf&s-)k-av)GAIKB2D<)Ei6%-QlHmEsJ;xB;(4a zbls83futuY6JDR6) z_Er};?ihEEE93fM`hJap;mK%yGw?TW5hwMzZ_RHTS9@12 zdE(To!%NUwv3_Be+6ujxO1xuT{VoGE7uf82SUyRfR9^#cg5Qx&Hw%hnK{{XA;rg_4 zz3Y1Sn0$ZWiNF)m6#1-lTz*zPDZi}y2@nhrlrJ$uoPs(s15N?b0VEh5rUMY!Mc(!U z|DPgR&Y2!^aJ&!r48+NvY>U<~H#_d~n>+wV!34Y`c1(W@`UpOuB*;XYv(XR9<1L0c z;{;ASC+{$Gq|>89A7%;EM|(!`+SDMUL3N+1()a z!ijs1eQ8V2IqSFAqS5ws4<2}@*C-=f*#K>21+*0zqOLub`W5e^-e_);QpSC>U+2x1!8#|0k0JD^xq;j-ERuqV*EMzZM5Z&6evKhf4 zM9bYbM;4tZ8dGRP#(VbJX*;5TAskwK1p^+VWa!gIgsOxTq6+!t)zghq%z_i35;Y$3KNy-3Nzvx7q1VGt0VcnrQ5=HmcHV> zKXjz{aOgY5XN$gl{2hK0&0>8sw(g2;-Ia!QR~pt0FnzXe8xIT@I?#mY79AjFkxBh{r{ z(&fR+BD*E0?tX-Pfqc>bGxBHDYu?v_f2IEBb&m(3zYGM;P1Fu*SLOz4JhPv=&G)AF zji9T*E`$KDzh*dJR%+}0X8Am3Sx6?XpLc{W~lto)+t0vYO4^*ca0SM7_!vb-> z)FzSC-!lxPEQVoIpU%wLSbG-2uu(ff=a-YZouEsIicG|$kPKvhTn6DFY#IqV<7QAd z&?Jw7v~7*{iiajpg!AV#o5mWX>T?UOEh{eWyQDj_c53&`&P{WV-}SSRjG=KX@#;}N05Hw50JDbAA_peYQr*|-v~#i?TunzUta34)Sue-U

#@6nc(i;) zsk~mHDiG{~2!`L$xEYc284JqH-TBI|Ux$IvD+G~m40^#c!vp@68R#Kj@p{F)r@^&F z(yHX!%#>%wLqdq*se1N#?)Dt@FeqWV4Ij>U-u5^>(Nv{cA z7j%nojT@aEg-{3|{XuxoIP<*G*yhrVcGt~8`#z1_C?fPA4izT$*ex<~TuDME)dd5b zezL=_cC>fo%nzI>@~BN(V>s9z1g}e}O>@7hbx6104u0{}-WyggHJ8G0T?i+0)q{PFVJ+Pmkt-R-UpribZGt*2RNws}`x=~jl6FR-^3 zj<_BvJ+hp$hO}jAZ$2Ur)DovA_2<164@T@UsO#uU`bnK%t?YV#R)2Cb)y(7UtDIvn_$D&D$4-BOj$-Q8%hi4qJS?7fEN^j z6s9QJlCowMK8iE}Zz?8fTIsA&M1N0#SBq9l-Yg=(%oleR4;885;!Ke&-mnhBWsIbt z-Ol!*`4Hc5g)kpscb>uX@Y}n-2GktRgrX`$47`lOpB}+-0#^%!o_5g-`^%W!@|xRjJT*~Y zy<~VpXWwusb={71&-LH@{DXY_YU*pBX>IB3-G1*U>E66nCd<~X8$X|JZ9TtQUKO>* zR@_o;J$l6*FZN$Ib>FVfJUBT}eg7T5($W6O)yt!PIfM|^AVD~~F)!uyX9#BD?I*b& zTr8}t=`iU*k{m|0LMKf#AtuW>crTG5ii%gr2t`Ib<~!jd>ZdP#tS3wF`>493nTCvg$SW7zs z)^hmMvjm=0TVa!HfsIjuO-6$a)b4;K_|2CCfDeFF;N<`*1V8|VV&ZfeDCLPVSt*a8 zk?8M0Ut|(K3QPDjEa3yOgipeg+9}`I-K!MN?U#5`j z0(Na6jVzd}VcvjC^3;5Y*@e#nAul|G&en%^Kll)h#D}yuE!CRO-;CTJsE;8w6jWJ% zV;cjioug`7v*4Oxoc<=!)V>A*{Eyb{TXDfn`Ie>g*|w-C8u6C3MLy6wpY4tMbNvvn z|Nh+N+YcU{zjtScQ`4O3*k#}w*Y>8nR?KtTqZwAym{e#db^J<`MfJD>gqJFP6~Pe+ z;x|@E-scb3ct0T$3B@mnLV^iviip5u#;YlQbf88wCGi}7jTeJz*Jh`Ai~)>A08fGu z{caZ;g9N;4$Kn>1_jqhxSs@a(;N$T=k0l*=+eb>Zw<`t0SXz}*)tm@}Lt%h}!SG&7 z9+pW(-Xm9vepxCnqp!jjEbGGg-nsatT@FnnGvQ0g3_OJ6w(yhrK`;kb zdyZ%&{tE?=Z(DLGViN6OM|DT*wbg4|@04z--qJczooJnIKh@6Rw}N{Pc+dVWJe=FD&0A`8|i;a+z7HICba?&w;-1Hs}k> z;Lp}_G8OE3hWw%LHS&+-KfH9(72~prOeUjtB{q7ud#~}{;NR!{V*DQOVg9i2SnNsf zQ~Ybf8-hggl;DcR#1@fmBqP&6F65m(G@MExsel?J9+YC zZSLgaPLrOV%3NREx`xn*vY-j&s!H>`kW40I*#`g#TgC0;6n7{QRzi7A(V*WY;ZuWx zqy!aBQB}2|DP>iq=;uj@)u!QHgR)P8Hwrv|Q8FRHXYpP+nGkp%3D~MalnJ+s@s085 zEo8|HWr)zNh^DEbp!@aeTUBzr3aStq2G4PWU%RHj$YkCP+*9D#lfE(GS>PjhAX}lt zFrQSCWby`CR%}*bp-{jH6al)(N`5*&lRuSr+Ia@ znKSjdKL}^+G-(|ZP@?OEGiM@mv&iglc#KFWDBbNi*i3xa2|prtpHP9((A2s9Wf3-Yaw7@s}w(Q^WpSQwbWj>io7M|&A z>7P$FyyHI){BmGPJg%|2PPOmbKL5Xd9LYf2j`+g;fbV@2`^>W(B-A|vdPoiGoQML9O3}M2^`7oRm7v>gh*Ayns`W@7Eg(^i2AphCX&ZXWz&ycAzI2l z9kJ#h~4sY%I*Dty`>Zg|=HwVA{T7qD%gGhOhTyH5WNg#@f<(9ix zK0x#lgGvA{k%J8A?22lNG)2DpRHmdUE%3mSG6R~@r)GFf38<=-0~t-pO_8rXty;aH zOH+E`u~kr)Y099gIy0rtv=cbwzP23>d57EWa1w(|UvEoJ3b=OO#bQ zR3b}%B=|0+i|i^a8^BOKnK8EwoEjjH4onP84^S1@%nVQi(Un6}T72tF9=KOp~M zxZ5|^l=VK_8W6s1@Ud!$XDclF49wI7^|Md}4q&xPYdpz$X{MS@WLxR73>asUi^;&_ ztuSpeh{Ow)ZRpex$#^u6 z>9K}h;ph$Y26nw`12;WHcas~K4W5564itfnj@hY?A+#$qi3fU82-e_Q^tVX}X|RMq z2S$!>FG|1Hz78cm=Q;bFZ~R_p+y^B({~p&vd;qS1ol6PYxs(4H`k=_Lk;8U=Oo3lq zw}1VXJ?Y_lhcCXSl!JEO6Bi{TX{pxe_l`;w|Y)2mGCkeE{{y-t(59w`t4p z<~t70-+Yw`5fPn>Uktu<(>du{ZQgxZOh;CrwtfP9Y24C+%9?rir8Ne-g{w#by9FDa zcR{-_NC!gaZ+xHYaWSBT^U-TMhD(5k$XsWrUy-j+zl>2qrUPPj>J{*MoD_K<^sa{D z6Viflj6cD%AfAvkg}0G<7NT=COmFacSBXvsT9xq+|eC0<#5KQz}Cqb zRNi0szO^aR0d^CF!MHJY4+bR!-X*B}yaZCx%MvLeATL1>FCh>wSsk6Qf!JF@wjm)n zFCio^AtWy$BrixnLhzkeN)sirQW}9~1F?Ilf!(o$4=FV;e5rxiOARbvYG8RhCOpLO zr9@-d$>p+(AU+E!+3D;|mdZ9je6|7Nvo?6w%xtvy0eHvQT{sK88>lMtfo@@QY;3lM zH;h8?-gnvt@*hL+DI3A3nh2gp2tL(B@I21DAOz1N1kZmI!NY)a3}uw+W2oNJ)aHMo z$RF3)9}OK?d*O|e097*A5f(%vwrO>)V?NhV&)w@+Ubd#^q4_Ugg#o-Cy%Ze1rZ2sB zp1Y#k`5`rIyrXys3f6U>NXV}(w6$5N~*l5h@@!EaDZ#%_OOJHM)J7F zXL3=*p56CUuA_Stc}{!s>@092(n4=Az^`KH!;^&F;W;2J=%lX5DVb!XKrlcuOg5f~ zC87z6;k{xGu|Q-Ha)~k#aVB%X@A2h;O!;IGaEE0gL5Fi^y?MYWpu!1shOI522do0C zgxwx`gc+&SVM;m0F~ocp}{_(KsEk-SDXLl^=Yv@V?B z7sD9_BVPLz1)XR_Im=#w`2f|Lj2;(kB&ng__^a**8x8gCe)t=whM((~9XAPkh4!6#< zlGRqLb+~n;)zK<8V2M|>JfP~aJj0%8&y0umM5`aFka_IHxTldA_k5&6)=2K-uB~?0 z)<)OXvnyl`vgK(zK0^lip^SejTa3t2-N+`hIlUOk=Rj75O(9mwftG~6nCUkf^{`&e zs;x9t)Qm^Qm0q*FC}vesn-Tj#$uWJYwIOoz8ozd=#s-l0_Gmj6C**Pr%gV&B@+bKal-@8;ew zAq`j$HDEQ=fFz7>uCOFg9Ax=05mCZI#32(&u~81qs>v6W$jOYB^*D|g8PDBTrA^=8$=S~R54byRiWBYh3aA%r#e$5N2*7wWL0T_Aq9(5i|AGs z%&>rMmdDs=rBTT-K32-XXb7e92weBozCBAG&#(RHpRN2p#! z20q@P;c?ETn=pb@*rvm=GQ0T0k$D?dsf zD^JlU$}g6E#9}$8YO7+kp^DYB%Q-sE2Yyh*>1uBR4$SHFH;V#(JHREMS=uqUc4Ei5 zdtbeA_@>g=GeT^`+-!e$P_0DtwS{Fpxqj`!ORSjo^_%|fo`r**U01)q;!|NA=z5~O z68sR{{Ef@Af#si`f8*8jd)pAQUPBPnXW*>oLC$h>S+0kZJc8{=;WEr2j&@uzjRw+( za^I6m2R=>8_GMnc(#lC8Q!v0`?rwwRqF%qrCkdIzD@j2X@(c)u!eJuwkRsy%CH#Vt z!~u$`DS4z;N!8uPTXG*<=Mr5t{?iCr5P3#Uy7@Zceim#c9AN9ycROdCr_f7|z_S)d z%#ht&AfvhpukA-^l4$% z&ep&+z%Yhopx?i5RWp z-MF*lf516vBOkXu#Z`ks%^`U6l90?h7%3#Zpudp)mGGwUcfpw#^g_4LSvbhur{1SM z#(h(r;+|4D+CzKUe9*I!TjOCY&hn6An?f8R6#x+004NXtAZBQ;umZ#pu>vocmA@Jh zC3+;T#A0YUgv=lfp)I=fG@_Ipki(?x0<`mar2nTm?E1(4?!)t(Pryf@Milc z6U(wnN^G+jhXv>h$<$VEU8F?+fW1zHWh&!!-F!k#1yZ(%JdU1R%#Dr`bJJcZw_ zfu|5KcyA1Om*%bMl$}vMWx3!p(ig$|(KkVSLoDG_u!Ijn^2x<#8JlMfv}##VV6{Zx zASe8=5#q2xyB#cV$nJ<(tBhF*Ob2EHR3I8d-o-8;!w}(2pPjMl!!P`Tr$lXTwvHIg zxQ5&ZFoDC~(i&73c~ID~ph6^ivBT7Ff6HfufhF>}$2afwyZ3zVd&?Yi^N(LTcjDYi z^3u@srAsr1!Qa)*Ki-YLYi(iH(Mml+WWbjYFLv5`7{-Cxj7GXVaZkas%H!zqd^Pb{ zVk+Ty%lQ|U%pf*=8Z|sk1Qc2bI9_*x1t&l$BvrNZCNe79s5C>nqnEijH%DYLZ~z#B zDKv1K%%HH2fpH!KLpuhAb_|N@7!=SkD4=6de8-@uj=}XA2Jj3>F)uSD!3Ye=pb*ck zp=eQq(Vfgaghp1h5slO&B?y8A9yyvx z_+Vcnw(~V&JD>e9?1us{#CzKZD!ysojF0j~)%A;{5xh#^O3m5TnUB%0|GD(`wN`?1UO*DM3NwZNQl5ul{bes zM~3C6J*VWiWv7f*ac_r=zIZmFn6=P`&?bg*`dG#32!n7Ofqjsg-X)60MY!|0Pu_H8inCyc8(Q?|UkDd76cDcK>& zU;=&*G6}H+HJN^XV+5Da(5#?c0CUkZ^)r#vi!A77z8wAv9yU_K2k8bW+W1#*TxkkC zd3YO+6_nA3mC=VK1;hYG4b+`ePf9&l1d;gLlN?`bzV>4?4Umh2K;9-kVxn|2jSRq_ z=1=yd!X@xd#UCktb#F(h2ecKtyXSwJAb+`Ejk$E)ACmPQ^WOlKTRN2-sq0MVfw>H_ za{t0C{Un^{B6GHiR#r zFAz7$SJOM(+quidouQrK?eY%gdSQ=vQ|PndYvkRj8*_)sUol=|-XQ+qd$agA;$8Q< z+DTh$9DbMvIcO>p>1yafJgZ z_{jnxC7ey^O4gcMxPH=4k*TSLYpg32qL?y@#X%({DM~6pxClleiHj5&p2`l&MFHv} z`%OO3&k*FGUxde^;Gjr{t0)IVKrntT1^$$JKSidDoMNOD8U5$C4&W~4vXQXc#S|%$ z;L1qni+0JktJ^N^rqXt4HxiARWeX9A;CCXAl|eZij^(aPDN~?y+}h?J@ss}NK#52Z zt|r6GHSSti{O`3~(NcMe+=Ml8ap%P--g;4wMdo7B#s-YK?J#h1)=tnas^%y>YHY}0 zn;SAleIGx<8vEf*8pgj=-R71JRLig#{m=}4<2dQvpTQ|FvIRrgkR z_2s^zxT*0vc>0X)FJh&q4;CsP!qd}O^$YTQMXPu|**hwFt~ijnfEL(_;ugnMj;%;- zjB6ZQpSo%6!LhH54NV-C7&V(_#}!hcZKYwQaiw)fS}*m+y%lQ%S6iCRniguX8Ydc} zV@FSn4j1nP*GqZk zHY*vUr>0T7Aemkt7k@GN$q8Zc7biqV;bBK_h$GAL>1U^3nXbrBJ#0~lS<~THVbN*P zJQ@pE-WYvSl6`8{)PkwX)L&0ZCjA?Dx`<7mKD?-v$~SH;J&%V7^%p#?LyKBTxHu3h zKG#JG!HCbKULN!+*?S#sod8?EuT=fv)AWQY^YsZ)ey`6Q8dbAJ^Cj)~g z3g)GI1`dpTsno}_NaqaZ^^$)E4c2>r>7&Do|V#X%UOyDp4qzL2A?}$lo z4Q^#)e>7)G3f46Jx1Rsvp25#^%O1Wvr#SEK1dC<-wC!T#qp>q>#>hwVX?Q>j|7cU`t|9?)fi}Xs~J+Wi6a`*yXudG$tteg4c%68jl;{4$~ zN};vD_K;F;{YT|h#mK|ayv;hmd73HBY>%)^t&I(R#3J6LIV$QPyJyJbuyOiAKK5L_Djrw^uZ_lb2)>7B*bgs@ zm+mYL&%G>vtup+gK-^ec`YG%_C^t)^kJ{A^$7sKCzad*3}Q zc!{63ko;U*Y|vS&eE!ltDp$}DyBMqd89DC0JO&ZiTz+1>6arh0IQtID#*O;$z?^1PRxO&&K|DVtnU&BDiizTdHx{C+AOTq917^91po z3Nz9f+C)T?^TOD_X8bXO|1{%&Wc+i6lA$~3zjUA|8BfQiB+=p0r87ip`T4hgF2ODS`r$OH8JC^44#+4GVqYJ@cE+TWIO;Z24~_lT35=q>nr4Y{buHkGdxO> zkBWC!$HGaJN5jm_d_C;Z=-8Nr+tpvZesOK-7jk_e?d!rXzwvld5X~cImz2($Ra!du zOO4Q1$Rr5F{Fm4+(&YV2aA%gEtQYAU;Qfmt6!w|4SkW0?ZQ{i3o)9iOXllg zVH+(L^YnV24NInOtHo$yBm`8(<%Ns)WYS({+H2I_G0X~H2-8i}ah;%yv7rmAHMd#% z#Zuud@-tWY1H=#Ihh>@Sk3G~~KEHdOvCre)Z_bZxTH$QeH*M+b+j3XlT(QFcN|(Vt zkbY|4ZxAmWZQRW2J4|1aU3^kvL!B$g^3@Liob}tbOw_x8VA>i-{PaiOl=Ljgx*q~ zXF2j-5FVA4m-9ppx92eY&xsB!WjWeXwrZ8+a{u63@o6Kt2(udKOeUk015CVbj%UhG zx?-|Ky5Ag`l^GdelBqJmGBgA8zn@KE+qwALEiwN@igE&D+XTG%yq=|nUsd0!F8(&c z_Q7~|26e;LHoEslZline#z}q3a2sq2zNXE`!~M`;KPwz=WBLYdO!P^=DX2~O*S7g$ zs7*Niu|GV}Mx4#Nf)&J0?RoK!8Q3X2zkI4FT!~b$FS1;W{E;p!E`GwqJiEb^XABW< zzE=8ddA5V{+X6h%D8{@oQOOe~r`hkq-ewxb+GEpW6=Q7d9h1aY9m|$}xb(gAmy=ms zOnAwM$rN)}T*-%c2ssZ~9$qGXzpIZL`fedJ1D+clm6;)y#2Hf~X38gfb|qrgM^$KpE1_iShl$lmE2fnvA4@)#^2(k5kwfZ)uMM+@LhaXvJ^w}Fmug5e{-;8# z7JiX-HtlTsw7)16rB|h|Pv3Jp+zz+H{}9w7srAcf-nPavMX>+BHxsqEhq!n?2e>~zM85hg?3nl%4yjmvd z3P~>p{T&1X4$vxEB&A&>`7Z+h{fHwZT`0?oWPA_mF9J_Nm&y1+SyCzEB{E(r;{!B> z7K7Uph$9eJO1e_gOC-HS(g)CQJ>qioctH99(F4jw5^wer{~BeiNY9%fbAsk%Vv!oH zP&1v?XdNZefJW;nh81hHfl^qFMjL7M5FZnz(_W1>(+2jWM%(yKK3e#?{5M0lPoo)y z=`LtAC!Ic9qZOK|w`;VHqV!1`ttYF#T%!#%TE9Z0jWlP7kBMURMvXSp-TDrVwy_fZ zKY}yUDd;QIn5fY@N;R4!tw(u?v0S5dG|f0u(gu_pjE`uvjuMQ^C2bUTGw#u7*vhy; z(k7H!jjwC8j#7+!C2iL1uhOdhRa&*bN~`u)Y1RHJt=eCuRr{;7YJZhh?XS|R{Z(4E zzo0{;?l&}A=>CqREhvvPC2F*eGEAyXtU@+ZrA9*@Q;wuV#a~J_J+9F@N;fr2I$ZLp z)M&v+tv^cG&~!+nVMEg{Nk<8JOy@Kj@|a$hbTrDN%;6fXqb$=ENskuw%>^2b`sR2^ z$IAMx8jbqqg_0gC#?-t=qcNstb&ST#G2NrlVocTYiL(A18ZGMYlXScoQ}Ydt#+aH_ zyG;}J2}#gs*eApw={p6VkW!5XpO92Rn}Y0b3bMZ`NH0^6Ue=)c)}Z>B2YEVa9Yo#WXIjQb1lJDl4IU~xE z54st>=TNzn->vbohf>>0b0(C*=@V#i{-W&`$7eMu}ImQ>(PB;F^Mxa#`Oa%k5Mw z?JF$pK$#u7W#f*Wf%}D%+K?Ag3O;ReEXBywYvWWWIeO%XiM&hd-h!(h1To@vS|Qtd zv@x5HJ5n*cMQz&}bj2m@Sc6`wCC3J7y>{7GHR45s`kQ{?O)N{DXr<1W8Q!;&eDYURSOCZfC2@*;?gk za5_@$^O~FO3maC}d))SgweH&1)wMOLWeqL0ZhL8MyM3XvrOIJ%aNDcwp4O_G+Lo%; zCcCrl>-hx=b8hC5?`*EgDX(pHqn3R}>h$UM#FB>UR;Sxp=Sdna&q$XI(M~qI?}0l- z!;-S0&dNko&|1~r;8xvd6GJx+T~gWJ`N4yqhAXw`rU)u@H;Q|-MxlJJ>6zdo&;7HAhO2*nnO-C^lqfE4D8?nW= z<(lG_cMgx7<0EVN0akz}x`tjpK|hqyGNHjVh?5C*q`nZ+Op}ON3JQn*#&!#C^L(obIN4o zM)ne?yl+(v?H$QKiGD@5{-==G_1K8{{oRQ~J)nz~HK5ljYl$n5C|5y$tb7jo3!NL!=N_Gh z6kVIn3%XO+3A#)71JGM_KLou^w+-}P>HZ4zc6|yl{hj&@QuLYnOwd{SIiPb51;h;V z4d}~IY$yR;YIqQIg`ooUV#7nAml!;t+YD`>R~y9_WpRO`ta$pCM-a zTjSr7V%%eV0rUaWJmRK&v0F0z#B>?EgFl+Cg8tYH&gRADc2dk87VIW1Ar>1cmQYI~ z=p;)f=q$_6K=)d(gRwks`6cL=Er&rLu^a>an&mig%j=f+L4RPmgz^t91EBw8`55#y z%QeuSSUv%L-SR2u8y1YLm8>sg$MGxcQPBU(`Vr^>>z{~QuiC1)B}3G3HvtF_e`5WZ#F#!`bpSISZP4B35PY3L8n;Kpi8=R=3`46_QH zipz@ZG+L{{v9k};Xa!fpDWa*i)j{<#cF5Qx<4*BNM32aLql}-F@pc(ME92K?e6FRb z1#7j82Sv;bG7gpTXc^#MoxY zhScJLgh*|N)ikVE?9qvZ7pa!APgB#ZXVi4YYBimCQcY*|sp&iVV`_){1VtsD?ef2eJt|6fzr=hfAh1$L`UQL_Awe+H_Woka${C4x@7F|nR zOL|LT%Mw`{=E!%HI~pBp99tcG9Q}^h9p@a^oFUE$&J1Uvvr?7Vl^|lI#VSWvnVPOj zRnyiQHFdwO)@v))(lS_Bq_|6%bIm^S84bNF{*G=}3Xnmp>t&>~fw`bxA^L79=mMl= zNO6DZx(_G^mT37E8tPI1b)*i!qoGqvAJKqYR@dVi1ick)P9oi*Cyn7@(8a7Cs z`Z^xhz`X}0cA&06Aio>*?x4J8hp*(H837K+vI98ed9CAR;91c7fLB1j4!q+zAt7`- z3mo#kDDfWX3}6sE28ZqM4e^{8XoD>UyraEus_?ukG0uBVK(-Z#6E;S>JCMHI7V1sK z_@qi3$nisZS*C$;P{+j8aS3e)RCxkpJCtf;8yL$Wo&lR;yd}>l@QMl=yPL;y*auci zeBId320i=Fw(pi$0J{pTfXxK%11(Swx?cK6z*n|u2;_H4l!IP!%lc1Abi#i+HGfj^ zoJ6OFfRAbA?RDNgw?fBO*l6pQ?Dgjns27-%Lp}=K1%`YW^p2qX&DTfr;RDdM6F3RK zJc)Jz-xRpyxz;fN4EZbQv%~o|F<#e!q54=;27qAyz8>V?0qmA@WXsL#j92H~BGB{yAZ=(S0eNLcrf{NkmXbW`4qZ|lYzcvbV66C?!*f~v| z$8s)f^KA%;Uq)xHhI|!6v<+;*Xs-`3PSEp57 z<2oa~#ho$U!&slrV1B;XIc?a^?I*l>od)k7fk3~V>20B%GqpJ_=XLu_62tTUC5fBo zy|!+wd)C`6Fr06HN#HB@n&In5B_OMYEdqggzwUtNio~IHFAH=yx-ujJ^HS4$-79GK zitruiY(P7#>+4RcYyG-+fV0TI2VBB+>u`Q}u0X~C;HJ5QvD+fB?j6Vq+&VAji#i9^ z4S3&F;dxiUJ9^!96>S9)<2+Xc0(Mz9=shRk)3qO!2mu{3oWJ>A;|urp3EX_|4Cs%i zCX3uZliWF*Stqr8zy(Qqp+uafZ`10*xB0Up7sv8x11t(hNoSb|}$;coUA z_QxyP4-5^42KEy}lcAaIHnbYt>?w=cVrEZc|GJ<3jpcylAK1^V%<5o2$8+};K6Chq zZ&ePEhiAq&kQM@XMqKqKksD8Ts}>@~Q{AcxU>SfXtW}L#-lbtR>YqW{4fr%{)Y2^) zwgEde2>L0sIfrzQmfuFKd77H8dLQX?8v1~Pz>6rqjP$UUf0d}CRYM1{jx|Wv%X~DY zP!1JPDOJ!4`I*-vv>7{=-PB8c*smO=H|QPgRR)=flvQ(AWvnV#HG9>97VJ4(@4GHH zUUjYjba6P>*L%)VP;a{4aGh~30ri^esB=%FXz{Y^kaL&oB&dU~KIc|v z0;s*NUgt(<7N~u$-Oe@6NKkuR+ngS!9n>z@W@n>g5Y$%JBhKYcE2xdG)y{IqMNn&8 z&CX)Sbx`%Vn(w#_Y8kH1cAO`jYrpGh*ORVZ*LL)=tN8{>dR@yw*WpTYOK)QgYNxxV zIYS(~K_$5C?cP`MX(~gglvs`{%bV(&nh{!& zb|78@h|t`$9`t5OiSVSX2eb_|nA)zl4K|2R_ab2b0c@wQ@{fu0PcRlbITi*v7DhQ1 zR*Xe9+4OUUMkE{~azBkRJb-Z-E5~J=q0&%E6EH5%(L~E$j7~g8=X08B8N?V(`+wLI zqdfA+u};NKlv=QpYuO552iJm~+RFEkVz<_U-I*tcOpQ5>c`IFr3mXep_Ic#~p8tve z36fsp*NEwJ^f|;03k?fNf$uFQo#7#Ppx*NLmcJ*1aOUQ>Ff1d^}F-~hS`QXLx+-Tcv{v^HN0VX58;w=rm@4=XNot?H5Hjwn|7E^nBF&Y zbC!9l`L&SzkTVu;v0D->DVCWQmu08r70bI;t2N(RV|7?JTK8CAv)-_oY%^_TwocnV z+d!x(G$%AKbU|o+=$6o3u(&wqCQiADGj2IRu75xK$o~ZU6!^^l1ZRNRf0~E*_wz9S zevFbh0cVz{(!}{Uar!MsoWSxI$kT7)q?kA-CeA$R40#Cba)1J}g)GjY02 zoIn#N+r;TJarR7{X%i>K0#b&loS=g^$tKRRiF0QYMDL(8{BKE4Dwq93Tq>l@1r(~u zQ6Nv237N-3{t4o2AS_aVvIk zr`#%}jmKjQ#Mw6US9%v`+KOavr{y^|aaxVbQ)%K%8lx8=yCP4f$#ZGKzWh#qCZ7ou z1LEy2W&TXeK~*{v+L_pOdW?NKH~??3ayB@?U<{^VOco;C+zm{X%P;(zvm;j#$mg=9#(tzne zCUBivAj4(!7zr6J^Kkz}9_jDpqu`Y>NaKL9z?dl~-SG%3i#3xhoz+)hK5(~zYQvMgcgNT&mtz;$ZJ2xNm- z3&tfIy`JVa06aMQfxh*y)^=FyG%U4U>TXB*Wd9FggL*y%Wr;u%%BK0Z(^x5?DnTJ6 zD1-!skl+9$=pAv4^!@|r{n(erYBWYc9k2ag880sFCTtc0-kZRC6L@a|?@i#bNm|&B zGyzBglKq>cxO{hyNS>wHLfVn4X`gIhmFrVSkX(Xt;ck3gGEXn6#Z^`rG~(E2y9 z=_a)9hm`%`&<`p5$pS7%z~uK40X2{CJAecr2}t%IAsvsxmB~ONFwOr5 zX^&pC??wAwv=?623kiNJJue2S9r+}G@7MG+(f_Mk_N2$kcLA&31^T&vEj{Z$PJIAY z7ynMIu?PIce4hU}FY@o?-$8m0(qfdA0Hv5Y_YeM>KZx>5jKD+w9sFU?^+=nLHluC} z;PCfRIL|?ix&A($hb!|X#{qB~0JjU^b`aeDjo*v%`_ZBd^%naN@ny)@;(7}t3I&I? zK^*$DmX$%Reu!2(Wvdoka{{Z-avVH37Ty~htRc8f0yk(!nSB1>FpDoaG0 z3(}sez@wdF$qL);ggiU7k=V)aK`$l#@AFcm_xhKk=i}N)T;R*mVhl!rFakulpV0*1 zS=b~8>)>4f6mU7HT4nG!M&T6nxGFgoORmQu$M3;4Ft&Y~OpqNsytq?@4#_iLYJUN> zk4YKIgkInxtltDQtG)zHE=WzrLoRWiJ`tJNhUAGp-Lat`DneA6C;o^bU)_H>F<= zdLhAdcn8KBcUm#?#ArS!{jwP2)CVal_)=h*e-5OX%$I|E74j>9YFw{Ds`_dLe6<47 zO@?$8kgfvKO@?F@+y%4(ZlDA3`sa{Yn`6b$zm6A)F_0Fmfi>4k`$JzcYM#3T-qr`% zR!Q0F{l64*0eAc&dEaj#aSr%^Z1*Uhk_4556>2V4|2)w1(00CmFRZ*bXcp9A>{`&< zSWVv_QV3d$--p_DsJ%*#U_gU=aWA`Hn=9}~SzFI* zDH2w>0IxU($#=jj_JW6~BRp)m?K z$1GC4P0XZztbbR7T3x`Cm9`$Cn+s!J)Vw!4&5uU@;)TB zKCZ117vvhzf>sVWTlUFyLP5;|c~#6E3%u|+dO4z5O6Yz{n_uic3fLKel7W0H6DFIb`x{|h&Jwh z;=YI4J7MWQX+I`p#n?8>@)~KA?dbXY;C&iBUzVQYgtfie2tF3%h2XEPV1ZijDLlys zw0jo3jmXVFZU%Hc4qcCHD@&ier*q1X=M+f%f(du{coHY+lf*BRC=Edef6K)4zzhTf z%|b9zHes(#xdj=ofO5=SQ?FCnJklH z*hlOmie(?OYZS*mWuMYG_8I$(#*05aKohv0>&ecI+(wgl7!RXFK8BB>BtDjpqiK91 zw^Is_=kb)v6L~+U(Gw{VZMQHpcUA|Z=`Bsk(Wn_ zUoKeLi_}cyX#`xrYM`5V(;1{bq9s>}nqDMoyskpzJsW{7z&7L$Yh^our_{V>&k$Jm z2I3Evsc1R}ypQtdkX{}x4@Pi#(^W0KaqIf!Z>Z%xXTEe@$|3QbCYz9@k9f0zsQlI8 zx=5u?o`bTU>_@hTz8;~+tH2w;n_B*iMxO(O9%%2ms@4hE2K*%&Jt)5+%Xza^)kOkr z+Gx1{HiKFhkbMgtjk|9Nd0p^(u#ErSUD^4LFWtSo^Q>>CyRP#+U#`2k^O7&$-P$?e zD{^;$E=Boul-G3*`pVpEx^%uu_wud~-*WeQl+?L5cZK_!-A{In_O-g}y2hc-_O3g8 z9qx{nZu07!SdDnBkcRjqT&v)Kq>N@DV z=n3t5(RXE0e%E2&HI%>V`y6%N=;5BIt~Yy3i_*K!^n{}P9O}n)z26h%v3FherF#-Y zEbLah&y&)1)mMa)8z|4{CSQkVcDDiXBi-q~U0;efbk7`$9}%(8t|!6scz3R^_e=5C z?%bXf&yMbV-%-!*?xLOyjebVP&vuvkUh?egE(4$7_&`v6sJqhlx#wjWtMn_~%X?;f zUhl5!nd_O`ZS9G3Z~8KUaK9~DthTL3d<8M@^$k-J5$>c%o3V-t$g( zYtI7DK)0dC;aMQ-s1{J^`y^f7b+yOtS<)TjtJLBZ8a)AVeRn)~2FH%>X^1^R@%8Q} zF@ma($3-Tbvn{;4x5uOUt>$tpL#__lqhw?Hm!V(X07?yQW9zqi@%XnNKhhbQU za=94BMUo^#%N;vwUHq)0ERX3G-zVTEDVB zcRG5}OFPbihSQ*77LH@nZtO*qzI7IR)Or_t)H=W3yVeK(@g_6)PifPB8d)BFNu+DZ z{xrT##jTJ0-ljvXkNpptY(!TgEn21;ErYYHqYwSL<8o77>#F})Q-g=|KW=i8RYzJ6 zgD2FJe-ho)3YI+GNr#$M8fu=K__>OB$=RHXsBTW~{4ned-Q$JX# z7JPNwf2rwe>!`n=X^80So|KLoO+%h^@L{bd1w29U=Ms!6PzGpWETBg?7Gpryg2y6# z)BU7lqG`&L3AEXx1#8^)Zbc1qa(d(5xuDCVXLL%R?`a6Pmvb<%E@4+ z%wVMIm~*OZhdb^yMSJ%74?AN$JVXYFS|8rR`0!zwGtpDxNJsnEbfb5@dl)0whXWWZ zIugUjs{!x2oSv!=4!K%A zwH<1gANY@5-NZl9x}$To$?w}2aYLk?_4q*BfVUW0KXA{oG#Ce>bp>Kf=b?kl3veD!0Zgr$R6{|1@<>Ccp7_U|7d&eLoAZ)a=}YVnP1AeHxzr%rXEYK~ip~7nAob}oQ zC!BY^m4Q>vd5!Y5YN_$fbSYbo_~yEzTaNnfbtSa8d<$LMTD-ocuGE%*Z@FuG z%Q4@RuB?`mzO}BLmecLZuH7wX+oQYmEf?Aoy38$?+P8J>7uM*OEA6RW#Vv#F+XFe` zE`ru;F%D83!{0W?V3-WgPYccOfz_b_PCrfU~$|vOTM- zvSqqGr>nYUwtaWkc6mH$x!bPqs%x2VH+MBO*)XC4AEIc>1B_h|2)^*06(q0+3iDTDw9>=ch zBE*(NDH_YxolID-Go*OcQUEO;ftUlcsX4`azN4f$-Fq=G25Em_jJ(Sz%oj+n;;iuw zbvT+cz1Ks0t#>SNvpLs$GcX0|6r}my+kxB7M(>@#o#uVs`++mfymt|HVl=+ZCEkaD z`^{zEmB3=N!}~Pw(3#lAz=v<)J`GclU)81xR5sVPo(!xs*SE!X%rrN)B?g{4uRvOf zX(wxTwrp}TT!R3IjQYnXC9>m&3$d99l6by+w7f|=7F}uokft=bQadtbh&L5^nB7b-g#2kMK`atO?I9Z z?CesuO?RGkMYqj%aIS>5yXAAx`h17OwXN+z=LJ`4+as{*QrlxmnrnO8YUd?amXGVa z;>z)cF1;_QbJS(_rF4$F_WRO1Ctbz9%+6`oA)mH$)@AeMcHVVW`tm#H zX^n`-eNIs8y6EGBxgU-CN?-u-=f=N(jDQHA~unhM|K?l+uOF|jqR{?(+__XezCkJZ<+BF6;>s>c}W&T0el+OWk zyr*?A*w}Ox_XaN78{o4^(2Y+49ZQY5ICpGELi`}|gU6AEl^x40$k(jKdXQ7&y5pbXr1lMBYrRPgXeG;5$qGn zxE}iI{YPCZzDED7>nYML3~78yl6)ARAf9&A!>l|Qy!?^HcNa5oYhA^HZ<6D`TUAnr_j$6;p( zD@ZUOJv?};an0A`57|t7Vcxrv4612$yG-;Gq~9$iPbh0C z^4;)1ZaL_i2rfX}KI$J9R)LmM->pDpcR{zMyU5+s{xDGKKHk3QpL8B+an46J2BO{Pt5_H^m+P zh4wRDQ$lPJ=Dyq5e%`^0Pq@Oft?*PUe_|D$Rp}|!y|4XZ*t06F;BLOXzw5TUr2T4V zjk~OUC}4Iw+OK!raaXmE1)jQV+i$`?jpVrN+o!tjyBphYcODVuuP_s_-L6IPX&QY# zU-0A&@iwGM*F$-P#If_=#b@MTy3j9*7)iqOwGfwtxr{4F*9xv6T`S^Dr#a|$f492p zsoU3nr<(~L;tsao?^d~c+gG|{#kUjm#=w2fJ=dKmyq$3OwLb;h3hyH5so#Cs&$^S{ z1AY~>Nbej3y9sXgI5 z*5QAM&~L+-?6+YY{WeUgwy13^l70`CO1}qtgMJT|p?0cU*-mwv`aiNf`mNWO>9<}b zF>l4_SZU1vjrk38(C^dUr(dIeKyM&3n1M02kA0n`uqxKhcC$YPC}k(v_u0GbJo~Tg zJ$4bGob|H-W@kTT!|Z)F&VI$d!S1ntVBcZ?$d*_$d(1v%9y~#bbt#T1jmSVxIkzvQGIe_Akml<&W8?$`R$y6%oowJ=^@XT3MPJk#QEiHgnl??4 zi`4w7riY8u{6KStdrk8rO+WW}%}+Epxwkc+Xy&-DX#P&~nERUMU!s$^Z{l|*xsI6l zm{+(!Ok&JyTrlQt%s+C+W4|5yBkpwUN}PiG>$sG-6z-F_)VS^3Ox#;>Z*hMcr;XEc zcbEd~Qb*s3O2h9Q@u`5@0kZ&eSPH+L&*F3V-MpSR^ZWT?{t$2DEBR`^j&IMyIm%s4f#=INJgiJX?xGREa|`Aos-IX&Yz6K7%( zoQ3-`Q_@>i6=&tFEQ))VdzY!X_c%M#aPM>PvpBAb`+&vM`_u&PTimzU7OshF0-4R6 zn{B1Hs;_~)Ggz|fm#SZ~&q1GN*cBK}IP_!z4yK306P_;m5v;tR!>im&iVd`j_P z@o@2I@p$oM@pSQQ@!bQf#q-4v;A*n?QSsyA$Gp3E6%pm-QEwPqa9o8yqt+n3TXmwkC)}ZycwWoM>9iW~7S`kV@&?rK$^&H@FYaifc>wxu| zb;NoDV8VLKI%A!)-m@-1i6!f@^@(*2e*qQsXL!Qe20zDtUD(YUz-#ra1$^E@e*RVP z?*V275C17U2>$&m_BHkZpoDDn$5C%aeUTlC`cl-F*t=10N4?F;qOzlM*n3gBC><-0 z%8SZl_9%Uno>fE@L=`Yc)K{X4*w>>fq8#k~sLH5Hm@f);7G@G!c{___?7#@%4G||O zFE}uC;QE2F12-X^I&k~Iodfp|EFO4xVCBG5p7AO^mQUo9`LqMq`3!ysujBLh0^Y(G z@dx=*-p(K9YxpDlQQpOS`2c^6Kgplw&+-@eOZ*jnkROJtQGT4C;`x}6F*slTdVAlTw+rt(C@}o+kO4#10ccb2A z`l#xtYWDA#0%lP(efxL^i(>4(-GF+guw!lp+|LxI`%Gaz5|R5}jy?6g-S+L2OSIme z^`6MQek`GB^3vHF>3cT<G?(w&uZHd|+K0$j9@?*Oh{G%PvG<8vX2={EU_B*yj`+ZxoeUW%le1N*P9rmX-T?Hdwlzb9$TV93AR!|XZvs5HfU&Og( zE2>CFEU&6qEw6QOSiZd8p)PN9#Fx7rN$9WTK1T}qEwob}bflLbcVw3LIJ8(lJ6mxi z@W7wcDLe6NalRSpxj=z2NlD%M-`*C#}(tY)r!e-u41}eT`^l8UvalQ zsbao7<&dj9z2ZT6X2qk>97cbT##5e$k9*Dw@^Js!9hQsyavtVF3Ft5PH))-a)=T+X z6uu^bzm}JY{<>K?X&u7YS|-~ohc&x*A;|9BymNO{P9;rop6 z^-t;>UY`idrM*p9Oxln?Pha_bJtd0=;<$(6Px!j}ytolQo+w*tU!22e@1y#o=4bY{ zXqO2`S-H02F(U0@5T$r8#Rf#|Gy2Ibha)tHQI>3uO7kzYH%5J=JqfH6Q7r$~3(}`FnbU@@q|7bP{Kw z_ad|OUgZDKdy&7T_ac8!??rxt??oa~@V!Vx7QPpWcnjZ)MCkCnNW?C9qU~ds*WVuO z?Po=M`}bbmJGA%u-m$$m_fGA-z4y-E`+FDnKHR&q_o<%gRr*+cqCQ!lrq9st(ChSh z00nxBzDR#iU#hq359@36NAySaF1=SD&>z#E)SuR$)nCwG(qGXJ>WB5C`f>fFep){Z ze1u^DMvy^(SEmV)2E;c3>T>+0WZ1K<8%D&#+Tf}73cy}^&egMDvT1mdodGZ?{+Hq= zGb*Q)pD;7NuY#HiW@DA>{ng!M+xH~zN!ydLXU87ho;=74fGXHy*;54EgL_H=?0XLH zsUbX~TikPWk86(?t`0-$-4lRPX?qTnj@6*!YxG?}2Hu1J{*nd2!L#%Z7QwUtN|wWR zvPiaz<-+szJ1n1RK&O2yj_n7CXGH+7FdiU*6$5Nx2iey^(?4d1SQ7Z0jcucUs!L%` zfX_28Kq~VAe1S~@q(R?5VXrIV74hs1`Y$f;dS7=%N8}pJhW&3}XW5W^^;gSYskdkzh&y zW3rJMx{YauZN>{EtJ}E4s53kTeas*g(PpR((M`CjBm;{9y4(p^p_#s z1D#Fz#tSB+XP84cv8Q^t81!-wEQ4~&m=eTGHjW3r*mxC(s$OPbWC zcvF%o1zLnLG^Lv|3r`oGHE9bk6kaO4QaD&RTsT@dUN~7eU1%?yB~KJb5?d&|TR4ws zN-un1xGmJcdxeh*ACvD$7L}}5XN(Q?O72&p6$)1^oJDPkNAIvC?b@$f&Repikgp!I zq*D#B&92?M_8W$F>2Dk{Jf!P0Wg4d58Hc%6i}Ou4 zW4>-4Gv72%nQt4W%y%FfYy(^QjVE>Ibm!pCeRDC)=Huo?kn+&HV(!=VnV;@lEo7kE zp+Z$*Y+)i`a$%Zo2_#(yslA06g*y!0g}TB#!}-F3LQ7%MuB^g?bf*;Tb-1vm@QA@& zc(l+}=q(ID>_Knaze{f_GdWCErdpT}Dx86EU2keMxlKOEgQnvlPa8M&n0ig;z~g#! zJrIK`rP*WZlV*|WvT49{%`^hGO~f%VG?;FfCQP?XGvJ+wbEbQy1=AA5x%(lcxouiD zJu$7BmFDPhPlaf>h=^ljPB3pHOf_#u-!W&IbI6Az8obE7+pIU6&HK&8=0iri*=DXZ zSA*`yLmmzi&2{DmoRwy$xz2DEVkP2`;CJR$v)|lpK4Cs(Sb=%_6rv?xbsD3sCDWn> z%q=`tc+#8zo@_Q0Tk;`lpEno3GhR5mOAnA^F+v-Qx&>n*Mq}NwWuJw&lvv7O)O!qz z;3-QMho#B__O{d;3oMPsS;L~K$Kp1x0LNzuT8>+K%y%rkmUEUqT@d0}!Ojbo%a#Gl zHSm&)6m!QgI$1_6H^^UyDAEg&vKBZ?h84?%9Z4S>A5ou_s->^$>U-CK1#odB%>et>R( z6FX15rGHBg?QrV%)raZ77XOHSh2fbTUxj^z6?O+I_V2-ZF<|Gf<9|KGF2*jCM)X-% z!40M`IUt{ayecBMV8m2ba075eM5HGGZ;3bqI49D0@19uhmaH6JFNDci3X?e}mRXkN zPh|Wx@miz}N|BcCOLb;s<>o~Bb0RLw+LZU}R#>@kT;2rN!f@d^br&|lrA^wDbh;(8 zjhuf&mfsNjDaTtfl=pE>EHCLeBVI3uwJ|4-*McZxPAn_c8IjeI#wff#HObJA-w>}*cW zFzkcM40WdUm?6c}20*8*kJ#r{KtEu&$dlp&)HR#|JZ}ok#n7C*2-pvJb>kQth6IG& zRhjXUP~Lb2a1d}<@I~XO=#$V6?1jpVlYrA=|0G}ithp%TQ(FaMJF+&U`^H(oyJ2Jc zS-$xqUki^Zo5iIkf*%>@*Y!3&0DJ`a*z{~%4v#~!^}=vnz|guU#R&1*Fa~&2#3{hr zV*7VQyf4aGl+}}b;UVCPX~X(vcq**95=!NbOthy8Fjm(8Ff=9#7}6W;XiOHzCk-$| z^dWKWHttxLE%n=|6J_NIV@u;JZ^I(k%2*`y7yD>DD3e*b(OyP7;9|Qd!^UJ`ll*z({=EEUW88#keeKw6?Rj3lnZIsUPPQ&@n%@)0J3N0;|6UYx zrC2gyde(lM36olw?>O(VyeVFoe{y-Iq;>tmWushE zimYFve0hA5Vo_-Cj5?=_eT8{!$~1-cxF)U4Zla#1+)exh?wR(PHu~&@%tlx*AJAwj z!|y%Of8n!#JI|VmllWihELIWE%#3BL0pkIa08;?d8GEA&@=QRjfUi3t&jri}Gz!`2W$j%i`PDp4gwwr>;dc*%SbwviHL2T z6Xo^+UJlc}>^V5V%-TWiuy#~CuAS6QYiG50wetWEw2!opwW|P+K3@wwf^05ZogJT@ zl$`=skFwLVGe2LFtUC(E~=}YH7DCy*fSLuX@ZFIuJ=jd#QR65n+3-le|bo!2OHl5_K zi_UP^O{X`!L#H?7(YX!zbZ*05IlnjL0uGp5a8?6tE3YIgwY0Q1&) za+LG61!8V_PWfbkuPq9zcX0ih?ps8?^w@Lwn*g3$ zNZ;gUbiRjhvPUF+lRKKe$sI>0dnD6o9;tMW#~0`Xk90bzV>_MEkxA!rWYJk1Z_z0n zJO01PRj?~;aQ!o&)Dz75>Wl<$>egwv+9AK%9E2Kg?!dBd<_Y-h{m+soEAb?}B)&Q1 zPU9Jt+e?;esBm*Xj=X1Ofs;`9l z5KFj=+%SveMnF~y)k%dC+b9+7k#v(OlE$dskLa`uJi#=d8D%9dvBad&SGn}Tlt=0F zNmr5v0cHU%B@HKyCXFXe5=@f}{4_b5`!V-pX!|d?zW~lpxSueNyUJZ<5!_#Le+ioX z56~tO`ZU4R)SGC~>}M<{=C44%1ekH%iV1pmcZh9))?t>tk@NygdG0+$QZln9@c;`+ z3$IoJRKHqHblt0UTj#gVBb`*6REyVmkM45-`vgdzOP>ps<30nH0Neok)&c8okSUdu z0}nh27ocRNPzTGVFQqSSoljo|{W#Q*>gT6F5%uHX-khYBtObthlRmFDP`w373*e(( z_W%~ssozOzfLalxJ}f6K(>UU(OpMMpQfTa&uQN{bzUDAfX{t5VOs%QW{0Y-&zNz^p zi_v^r^KBNZIjZ@H#c7;aMuXsY^?Sy>`OVqzSrq#g;X$L&~EaE-Htw-Rx zRLJ34@p^!AYhvD(hl$f$OSbZ9b7vaMxlt6NJF zGt%Z0R{?a1v5C4Z4`1if#m=M-k~aQ& z64aOixvNlaRVXJ%(oMo=bWEEi9ZP`*{kHN@hV+9Rbc1{2a-G)o0gk8-_7mkMW(bIS zCDx=(rcEc#zODwzE5IoQfL5T!?CbG~HHmj$PsdT>zQ;j~=6=BafN8iNazA9znsQA! zctEA55ulbJVJ8UbRO_db$Pci?*UW@tXn15!;bo$iieybQ~11tfo zrzp3beq&;%?=lpKk#9SdbJI5t6l}k&TrR7@|CkMxQ$RHOFW}J}#3O2-T1%tW@RVRR zc{(FcSCXfz$kU_9(>3Jj(d6kd;OR~lN0P7)jQS8sefT1^ji(Wz=0e+Vl8!FYTEQAZ zJdQa;&E@3;tre_D#bm?MSJ&C+jL?v^NV_q%6PX#d&|oWi+VSRJp6y} ze~r(ew~%B&kUTp2HpatPa#l49SoGj4?dThGb(X@P=8R%=ZLd zy=Vk1;s)Y^z#E7gfGZ`zHcC_DQ-Qlis7BZ*QIaRzAxSbhvGZ^> zkEO9SNQIUM;_#P25t?skz5#u1(11s3nlw#xuGmYiP3$U-p!msV%sE19Ty9)`oH1@6 zqlDoL!N8iGVg-Z;tg?jj*ruMg3KRDR`-=-)f%tJiYJwlPI$Y(d;x_1LNOOZp-%)fz~rpTa}cw_@N zNs+>Qa9y^68?8v7-&vYBaG65IO5u8kjLWgdw9ifo@zzK0#WOsSyTJW7DDh|9MTqi$ z&iy&;toylss5!t5fNh4jAr=L;`8iW_V_+c-_cz=W#Gh&I6Bft)iu)CNg`4GOSpql5 z&9N=qJ?=~jQ&`p zq3?=Pg&p>OTCwk6B3`lL5T4Vpfwxb=15deuSD>)K)uRo(-3t7Mz?}^|ts)n$CN}Ug z6!=UyxPh0dzKHAa);toj^TA@Tl;S(9}IM5-#eLd(Y4x%gTH#tNXD`=Et(O1o}U0@Bo3v{%)slh~hJ;(BrK2($G8Mc^1>FkVfM_ zOykL!B6maVQo$}iDwkPRRjLwIEnq#Q>5w<7+(7$OnW`Yr$5lO1IjUaZpHuY#rwZ;} zRt>1G5!Av}J(SD@o&Z$@a*6Bm8dW_Y0VS==!E!#}T!XtKfCx7LdQ~HAeLwcH(fPh& z8}$&s8_OasfbhH%3C}l?H6jf$De|b8yF}V6Vt{gMWJ#nkvJB7xX*}drk+neAMD z06;ME^6$9ce%U9>ctt5$?4ShU$0NY&xrghB*Kps;)d4&Ov~fAyDM(u(Z2-vO zPH=Uw2&*7J5fKZJ2zSRKlDVs}N?s?(5liOan<|1j5#$m>@=z8^lF7-z|9%C|b!c}i zA`Q}3?k0CLB7+x0zr9!`AB!{VvQu!HLIT(5!KDUB&Mrk#0wy)t6>uI`6yA5G4p=iaF zQ`4#=>;}$II!$-C5>2bRVufg77qLLKbi_YN=_GM%beDD{iW|hC^-S2yC}=Op?IZdg z(LPF#QJP69?Jc=^N=L*NvuIb6MLK7Z{4{Dei!{li9%0%??OKP+CmVg#8Qciawnsns#BI zc9YCis+<1l4E7FF#R}0jqWdV-Vj95_eU$E=qjZGQRJz+ww2#tDl%68~K}s7aU8FQX zDYd0cz?40WN~ILvBRwULnh-eZbmEUt>LZU@!IVm}$VWsA{FB7VB2Fr$SZjfNk9;0{ zvH|-=ett5N>=1=BagfqGBy*6`J1Q;Gm~xqsK}mQ zHbIYkg5)wgmmPO7v-Dm3e-K<2(Y2s!F-Bp|@xdi<$SrxjY+aStPFl%(olF(xt5mYn zNKj<5;AiDmVy;S#DhZaQR#~!H*)W6U^_3Whb2QX|*y zONyWEe7FcQ;&`Sc@+I-=6}-0re_jd4PUfezLHe6-cULwaii|A{Y1uPxWK4g7e-3_8 z=#$`1a_lj%tT)hNGrV0DEC#9wOM?SJpXgWyb^y5tYyJfeK&BEI>=v-MSfcFdEdRJd zo@aDQPT6{-jJ1vE*@B^$Bx>|XK_@GZco*Ns%Zva0>4N44@vt|WT7jU~NPMD$a0QW> zW1bHANJ3UPm+K_4W zz3MHCE5db?6=8#*UO~H@sk{^4#_C9~eK~_IFvrCwA;yXb`sGDNO7%~|cUK6aEZ1yJ9G3K7Jt?DjIO`e zYb?E#Rxat9mh&lCUjIY%=oWA!3i|7b*8Z3E=T?5T_r2w8)(b5z*UN3)S=#10{eqrj zai3mn@m4KoedW#pB97iJVpU}#wB=XLyGVacI z&?hW?#+Ca+V_cabq`d=>CExNtIBk2{w%yaVZ5z|JZClf}ZQHh{?Vh&n{@?k&_j~(x zWA`7sv2m-S@?_@8Jo!22R#nu!m6@WgVTygaO`~desEKpBVN^$_sxn-DIn8*ACv>D? z*73XbAya#m5^6h*%&Vm7OapKlN$E43rW5GkLcw;)+vV|a3ecR`p%3DlnSYp7-R9A^ zkKN?sEdTC9P3JhECHRS{uc_HN?MSM`s8!4~{sg5*|9vf%kz7w-7QWC4{F$x^&qUTH zj>Fva*EKa^8_285;TD1Q$3Ethkks;=jdICkeL+dXCd!4)VAj7nUa0`1dBR*Tk_ zq7kq#&=q$Md*Lw%@BiS+T}0YPvr5XjZzQvVRMcnQUwwk(8Mt|VpI+N8<0otM6iiZ~ zOW2T_b@Xt~dIodIHsY|V){ry9hnwXADmGx?YomsxA=3(yHsZ6@td_LddjG2R!e z*&AhNqTio8b7Poy+2fwnCx3?oPZ(T1Am2{ORVuz<7>wt;#j-)O@760vxtL|ycZjoRd+r_=>iT0WgR(t?{r z|FrFUpAT?BbyV*;gdX1(ndu=ZseMnHJ-SHapLWgMJdZ+rQML;o`nk)sl*Jt>5n#b_ z-=t4o%j}jmZ@t6IzAS)_Y~7qBZ;EflPHHJ{m8y0RjwIgQMmC(L*Pmsgsj;=N@dDEa$XMJv_%Z!poruS%Z={@_mw8W z?Dr(ak;x;J*6RAbe%G`?>|*MNA}0F=>i>b$ZVaS5{u)lP6K<+()#_qxy@C*>v01|8Cu6CUyS*Svbjp*sQ6Sb_qM* z1Gi{W`$x%~K`@_44*79D{{DDD|N*%NIujY zooB!HV^G^Ul;bMOVLkbzv0UmzHbcr^fnXj?FxN~iYE8j;+Mm^AE;0EOzfWjwXjvpD zGKDqZX`f?rea=j>9d362Ts4?!w9~_}M6;HX%{DGsIwx&2EvZ__Ole6=KaGHPolyv` zK$+gC>$c|HY6$P|pHS|_ho8`N(GR9B4(#a>^N^v02hIF zeSY)oRVZGHF28O_9U+%CS&`Zho(V}kyk9=yQ9}?XWq^Y0UU5l{t(hUU+;B0-FQljP9_E2%EUWu}qP9ZU-x5B@PuOOvF7+WQ}g3Hg(i7U4fiCGU(ji z1UN22jKq49iF$B5!*YZs;4Zqs4jG7^ULSv*s$Sm)1x^Y|MtVHWM$DRvS0hVo;-uXM zOtT!b@QuwI#8MHrF`j3cUH9A5$23=6>*d*aeu!8xzRB+kv40&Qka z<@;*{Kid#yMn8fU4z&Jk%F;{{RcAC*q&)qhg0a4<5@}&|!_TCxWZIq`$79!+>}9!* zGjrtYUvE3aT(=R+o@6an&9<|m^A732oPQl?%%=9ZRcn94G`7rRQ=OF#+5)N$V#end zqgRDFRrn$09^dvWy8K6t`?h0DP6W|(PG)kgX zXF!EBv@n1pcc~b2V-*tBh1g9=!bM?AV7+wcwTYO4d+c?5*vPb2W5lsd@PbpctZzyv z@Z^4s2l%sZ`gX4CQT&X+C;0LD0bUAUAZ|ay*pf-?iaD0jE7flowxY_(2fN4cP7Xqi zX-&FEgyJEDKQHNkOhK0FY}Q+E3y)`V+~)?4I%A31oeSsBv2!WbjSrpiP2H7d%p=jn zM_AeUWPv@s__R!)HTAq6YSL=EZZ4*0%cv|hA&+tXuBW^8WFdHHvNS3dFXji=3;9#D zp+7MNdTP%zyX<80^f(id+(BO&@=Utob;-3pOXgwDiW1IMI1OJs+5)3zuo=e5=ajY@ zjlKtU>sY)9&AP+gbDJ+)!EHWDC^8 zr~_-mxS%>6F&Bgoafq|HZ7@Dk<=qM7Wx&rrDPMmWp3C6i1Jwd9{j?D%!JN6Hq z&@V9z`6)`frZ8*NC#rtSb?YmsWs+NYxMihzE?dQTpHGndi&amOw(RU^c7&Rs&fJ38 z1s7x6COgaMlO0pzitRb0ch{{OO>W+{uUT|(bcMk-?gpOusDg38Hp%VACEnnbQ3#&s z3#(`-Pbob2V;1D&0{KmJ*ap7tgy4mOu_IpflmAxrc82w*P3ZKEUUSjJigRU>_&w_c z1qpqkro^T&nXVE;cp944w3ilPYNHB6HsvvXffLa07_^rI<54FR1a&wxqo1CMHXi#Z zXQn!SZHyx9E=#qg=tzN;`;U_j;oM|r=d|^fOeI5Vtawrlni5{o=!+9FWM>nik7M~I zyxD4;nY3&ZUGN-ruR|L2oKP3_(;h=XkJEiG$Z#;ZtEQafE2c37F~#H9Ha@2A$h#^J z(3;cC{q~*v{S$S>PcpP>!kGm&rpbk#`g*xG5zx8r+Y zrOIwHU%v^=1mgGZ;xdyX_{a&6qjREoKr)HA0Z%h4m4xK3L6wkutPw77cu7tx)vAhj zhliY)SpvI=cH`Y9#jo}%o#WYMhbCfo`-^Ty#1}4F)09pKmCPjv-~~$?Wy`w?7vsI7 zn61l3>JUjy8|{jBl4NpcN75DzX**31e4dzj;_>9%!S@a<>fl-k4GZ~>&3?_j<+wp* zrRCx0q6b}_7-(^(d$Wu5wJ+xPtj&&VHD-Vu=^jJtE08Sg)BtBJKo^`}tLANCEFoh_ zAj}-^EpA49D!e=v9(Dusz{71)>U{Hw3VEZ%1vQ0X(y9eQ=z!nSf}aL)9q56nl&B)Q zp3v7~{~eWH4IHv%7D~dq_)+G`dCo;^17_(r5Eo^HdKFqaajn!>Vm1-vEpW(t{|gi~ zGh)|ENDk=6ZvaKB1*^z2K8g|BHDJX3F0Whsv`zh3|An-(9q$#$18G_}e~bf;Cud&i zSR&XlXuhD49U{}O$$i$ecYFux*;5Gf^fBtdmD-(%=Gl!MyWoW^vnH3hw@9CrR(CJ~ zS>Gd-(XD_0ZMZ53 zuHjn9vljb3ech~gCrWdYeRd}QTM%3v1O8? zBwtHzd*?yfygb~ZV6B4g6n;r=vr4q$ZAtj7?c`MChuV~q)FO0~sI>yuqOP-gmVC*Q z$V2ER33wf<;3Vz81zLQdtP`1W7I)3a~{Lp zKz=*9HOjob;(|8Z9sS-we>?FxN)JKQgA>K=Kyf>|J4!4@6pIth?Eo8#6U&`|;(j1} z5PwA!n;FG@%Y(;(>V6P9O57GN<^#~@yd9PMf{2||c3fPynEU!oYzoi=bfvo;eHkU@ z6T@rs6QdKwdm&9{Od*=lj^VzuJV@J4WmF^jddGV?iXEl*74;d5KR8%r_Or!-ETgUxO3EpOn}yCE5ommPI8uxAA&Z!aijfFMrZU>5~L|-q-OsvaZGd zj1sF5#VSX0E7IPIdmO}{x)q8Z#HYuLS%~13;kuI$--_dMJLnw5x5tZ}0$BL&g#rih zA#Q~V2l2!4V(G$o=2%myCh?}}%to5R+2)w;#VQABzw=B16^ISlsAn5oNFf*PIzR_6 zEaHl(DqzoQq?a@vU|rUvw^@BEo~&xA^Rr=Ggq-U-d5|8oYnK(5iJxSIdC52o%qD3Z96QB56MqT!XK_^xN_>h5^Ilz`}41m(Ex8w-+TAxD?vs2h^sr18O*H) zi5vmga_T>gw#)u3)?=s6S8wEW4|jz$tz2$EFB+pW{Ek@zRXOcht29~t7$SOzUo$_t zsfqDQD-2TFl5jocpv`odW?yY9vXZCMTf0_dB`cNjRk%b?_CT@_3wUJ`@J`C@4oTQF z*tz_^HdV)-Sg!+Bwh3i{@T<9tqBNq-vy3oV+Ic7fbJXjOEe-kh?dDS2wP9Y>q4>>O zaG@KtiBAAmTpj{tF%Q(4T>w`?UMw#P_sh^_T1{C+r-QenW~KT3^?bT+xk_~p)iq;? zk)OLR%Aa^+ziqq=mfSJRbpqNc1)AjKadTnyUTDl`kdjJY zn49S2_lf3v2;3!@!rvM^)9}_F`hELz4#vm$E!(RSn>I}0ocQ@FgFgG{3yhPwd^man z79B6;@0_dYYAQ~#+LOCw*=A85j64#uD@%^eJ=%SAXBHdoaX#98v}TrJ9*DYx>6fVQ zCA&mzXSME4Jwmt2o=!hrYk8~XG<8ZIFui5Jtjg1|irdW1H8YwIJsb<##+(?~GO7<* z9ZOEEUo-klQZWHFSv;pe4h`ZOxj*%D_JV0I2*>SnAfM&OiEU*yBQ&-6de0AnM$TO9OvFGKChFmUhMg(+?ZqD3HDex-?mk-zjERPWCJpDUI59*@}a+@}-o@_gPxgfh!}M(@e#sjGX}Q_YXSPXU$R ze5d#-s2_=+5-Llk4;m^mIm<^X?O7lyD$ZO87NuClY39Wi>1f5l<;I$6s^!h*Mr)<8 ziRMfyxQ>Bs0y?H^X)fi}=C*4U9>onOm8ThW#gP_(J1V^LytaXjJS;$Fs3NQhbW3Fv z=22KhnW@dk^Z7|wwgDAK=CvqQ+DUe1*(R2!j5ihPyEu38CRUV5(4}E%78Dh&yHs~+ z<8%p=g!V0I*2E<^lZdo@8y2~Y5*04yDQP9Pv=ftd3)}1;Gv)Ay?suK1W+v%r<%wR_ zV$Q4$lQhl^Y1SqcT9Y`;Vw)C+>22kaURh$!Kkg>Em!@b~U{qXR%41FqlGBV&SsVH* z<#{ePbc$@)ET=S`OIFQT%XLjER?9D$ax!LAl3(V%$~-b^rk2ZNSB+iDx?N0s3trPe z4*gDTV^WDN{KgnLEOKAAylTMH@TX!eYB?;Y%Lxwy+HynECl2e|*WYb?)CQ!x$JAE4 z0r{9lVqX}4Y{=axEkgFh>4`_*!@LDAQS_ARDMvrn+}0=>y({`q{`gJ5pQ7+}P5p|x z#NLyjC$UMbr>FSzqViFGn&Z=+Q+@el`TS!M#D}&g8SBdQ8S_-&i}Q=~Ax>|mrUdU1 z`z3l2v?qL9m}jr$TB|hNhq@=pW6^fMMIrX3>s|NMz9)8DOy(Bi8DtUV)6BOqJ@eLQ z{Oxq?7lIWYXm4{mC2X_tyX>hKGyiOO!BD_UKNIa2 z2${fQ3zu0Yvoc+%HE`>t%$?yK(FcoJ!sFaSa5f0GisgW60ksDYJ1x5m58_tlR;4b? zdw7qEmVi$I-v<{4c8tm`s1R_WrA~cy2I($K;;%xiGU`*Oth}?6$XD}^N*{%p-;NI% z9@)CZeDf6#oLv&O3+oSR9xb1$_={o>P~MW=L_f<-fHLQ3U@H0+LqF42sQ)edOyViA|(mxJsIc#LpwH=4wt?1II z$!1)=x8)uI4`PZF^#s-K7v8OU{Hu4K9^c*qm3W~$3GhG#EaYh@Fbl!{1WF22?X>3# z%#xTPHA2}yu0do4(h0=w#1K#)qr*antn0=QG*B{S2+2B*nWtwS#&^JKOpxmhjjGw- zsvy5mrFkU@>Ozl7fdRHLso+tmvV)@jgCe4XqWps*!-Jx|gCgXEqKZ)|(lM!`QK_Oa zDYeA-`B8CWz%~ZBEn)pL#)>-1iaN%+9A`}xZ(U8gu1vPBOt`KLAc)rs6Rpb=tu5lL zEfOFs;vp;&A(%!%oJT>H zaiRseM<;BxdeDl^u$c>KEfBIR8uCISXiGL|OCV@VBIuDw@QO&VA#8SQ&L~bhCRw{k__*P5HA!ZkoY-f8$(AxZK26o$6J7} z=&ML?mi|ob0s9sA!~avhX5RAReXWvjS?^Tm72BI1e~#nTGmViIoPGsEx`lCNZo{xW zExS17#0WfK*xIk}1bbINOp~wHkq>QE~hi?=|N&|4RsUskz`2HQP z8oC;O9Bdi%MFDFXdAe8h!zM}Fz-PBKAz^9f-hf^&-Hi;Y6qRY zI=mb895*X*ZdM{ZY?N4;u#w_HBj&w2j+>PLH!BJ5W+FT-lvwMqk>)`ovKu#^8#j;} zH{zR>7`M)FH!C@A7XmykJN%%A zSjiUhQtB8?$yD}=p{bP?s}2lkndt?XB{aUDptY~jYEEd^th zST3M4%602Pt!U0C`tfPZww~)?z(0L>CU6C-bA470!L-nHo#~E5I1DJJp<}At4{Gl6 z*Af*eigtY6SqZHt7D^(@Lmq4^j%5drGBaDqFDWwP=q&}kQ*vcLMP8mF?tMLx5pudX zxSe6#x_@T<$zD+N4D2G@nms}SH7btdi?l|TIy`((M3Btv$N|A{i(~9W{H`o!3&`-%S&^& zQ3xz9uiJUpuCpRDG&Hj+%WvZyKTlCueEAY9(R90nNVF@K#;;=}BT-l^t?rAkuhK*A zf%Nfa-s~Vef?wkD9*KDD68sT<_p+q{{PG?(j83TGkQ5@qh=mdmsD-DwelcKR!u+7d z!oPHr$S6d1vyV%omfM)02E32l??^Q~FB)bzEWeiNEwAplLoqP;orv81#UO#-zw@Cw z9J%%+rQ~3*B^(@}Q|K2L0bScO8QEc62M!tb;=ANhBIx&|@jGpP9gL>}iALlgNmv_N znD`@sxByD4zBhFO^K6CzuO)K=b0)hP)AFmqM}vHS%58FXLS^g#+NF0Lyj$R^cOnjP zYikAiSvva&DP&Hd{F4F()GBmfRGy-+*f%Rfm8#K;W@;C5LRi@RbimMs&Ve#XnC${fg;pylVjSP3v81<2m!uaF7BJz_01Oo}n4;_}0J^)LkYaiUvIBV=S zGE%$AF#jzLtnrOmESb+TOfA)e+>f(cNZsav4Q7-7S?eJ>E}FCK)brMv#t*d!gs%xo z9~9%@?9bVtg?oay|9E{pov zUh$C=BJz<#7Tng#%UTZTblZ()NGF6b=2SEui4@EQq~G>MT4~s|MHQvZV42|@Swkk? zxt|hk#V6f#$}g~uJ#G#(25o{F5b%nlfuOjmVMQcJEjQs_jldSFlKS zAe7l15VSC+M42eJMYhW(n)wmNS~gmZl-P*2&y?k4u4wg2u-XXisTq}f6kxXQ2+@_IWB?oGB@Q<}&qP~X?vxrMNZFUO_ zF>?X08%vQx9GfdZ(f6}OIu2@oZqaK;`;LB*`gYD-u)iXzoi2c z0N)9K4xhfOeFJTRrNaDvL&%R5J&;@X4g5KZzrz}G5QG4uY{DG+W>SiB)SwexCAHPefmz2q(A|vPb5$TH zn2R!7=w+1O*igIE5N1)SBx+~J(&e<0831umATcq)+ z=(aOQJ-pi7S5qnm2bnEy2g|q$udy-~P0MJ?(=XL#`U)zQ z&_N#N)^W+O&?Q0VjQ8rlyj8)8 zV*Xh`f&DByKDpnO9_jj%>Zh1g?88!frd5K=U_r*qK8TDAOJ~|hfaR-?nICXoYD1gM z*p2<7;DBM1X2Yclo9b&GFmRdU-R$xx(~R1ZU)Qri^W*iK1WD{6<$%8SX0X9?HEhdfUP z&)Mu6r$&J3RkbLSbq0qT0)5axeoMEO`Hpa4Lqa&e3?DdLjfW^NN671Ty5(a!qn9W{ zIitW_;$`Di*#*Bnf_tYhfyZ{PKC=o%80_(n9~LUYZMujxGW?(6P3?D?7(xg(Wcwm8GnBqPg#lzb=b6P$+)oTZzkblYp&Gr|71T?ben?h(d! zV#tcVn}BG0p5KzoleEmgCgayx0+5JLuncvdrn4k$rA}wiI>)6)H7Gi<&fEjWus1RG zKz4cb+JYjyVmS#Nh`T2`v=N9i9voQ2A2<$D8@3XspPNIn&L9ovvxt_cQrn-B)gE7v zemng30AqeNeNqNuqQxD(dZ~FAbszUWWSimZv*ibh1K~HE*#9QU7%}98^B%Z^C-~Ud2Qi+nM=BFMhex+U!exUy$U;DIJaHeZ(J(4oumuCdhiqc48~_Jmxwj zk$G?WDy~gr&byjrR~)fNdw^u#6-FH+L(ay7RE9E7S`5(&61Hw7h2K8yudMtx9*ky~ zPK!aAW2znrw&%VKPfQhR5*-xq4NH%v$;ftfvl$on;o@<(w#KkZD#+vHt z0FKf-@dk?$U-iv@l0)zJ&1E}t@d%_vB;dS*@hVXAJ1)%GZ|N;sIq{{FW3qH3E$?OM zDV%@4_BZA%j9UM~KK-gH;=-`}9kuWTsl4V1UUEh)1i?8lC6oJusgS^vkL)06S}WcZ z>!-&m49Z6)wv~SDHL!RCOd!%JF>AC6M7>*xdtM!Cw1E`VrtPEPk*H0U|3V|#Ni2=J z18lmk1a5}(?Io9wDUo%!>Lbb0y@mu*UT^dh{zyOFD1&b9b>Kgt3&^e+eQ zS=z%eOw)c|Ws`v3ZX`~+b4`e02G9a3k)KvsP|BN}P-5NPFs_oiZd<(^k#b=c@hP0@ zPM*yt6a^+fYe*IB;LU7d9f?YUTah zc;kJ4{F8+=jeHlpitNysr#)?5?&b4-05%*tAFZS5yl-h6ql-;TM?S{3qZJUeDk6lT zLW3N5?$qSMvb3a<;nt|TxWS1>=f)iiVwW@jlW%k>ot$K)>IdzQ&DP3o!p-$q9vd2} zGJ1zmn_-Xc`=#Iwmp^`oW{k+R>)K&E7go2pj1^};w#rMO1v5`SVB(5e=+9_tA-p)F zAF4LJthdrBHV(HkC$L8@p_N3`R__zpHLN(ge7n8(F4te~7%u{5OkSkd>knZ=c=t;LDtF#NP&2riq@m#6qvyw0aa|K+uQ@J8m~bMGzL_SoTrS;`>2 z?poRh^K8Rth}i2w4^f2i?m_SaJSd^e!y;v!7f%r0#3-UfgTNz!wjtM zysd6!K^;;JweJW;3Y%X)p3D0w@qzSdt#)!A?*sAzyv)+vYfYe5=M zk`hHa)iKK>bYG`4K0|DaRZciae{%clS5@ts2W-u6msM{Ifpls#M@0DBP21C2M%>4OTb!q)A0}w`e*h+e8pkyg37&;v6$)3oSFemJ`9G6313N97^EM zl!-dV)A`d72I2s3&H;H;K@T;IYj2?$EogWq!f;mV7vZ18v)Qtl&fecDKQ+`-PCMDO z;aS`C{;Xm_k2Bc&hDmno9b?A$69Zyp@KGaa>`NW>`i>lVo#o`)`39ZD@Rgiu?#@_` zyBPzGp35pj1cnICH~sTZnRs$TZ{N+r7FnXG`O?0v9!vr#40A zhiNmAZZgmxncVm-uYB6sQfS-j-(=qAA52%uW91^RdC~{uNDEG3rt=5L)0p4L zURfTq+fv4ER}4(`aITzy9EmcPg61DOvrJi*QPE1bLNF91Y^QaM4zCoEysWHTjmbXQ zZCw_*V)HGmgXQ|lt38M1_mF5m4-3W*h=Uj5ew=NbE8IiY7cD`wwN9Pt6zq}xs`nZCXrektT86rpUXts_)FJThI5)#S!Z?GYba+1HnI}76F460UH~;4gmqP76H=_1^~gxs71ic%=~x% z+xqbj{~r!OPQb**PVj?);lFo)789G+e>nf@$IJ>a%)~^%%F6cl2+&~xxcb{-Wn}!f zOBNP@Cw7j%GC=>oG7c7&e{25$i~^tuko^FR?60LCKUn|j{N(_&|7DZ)@9_WS1;A%z z|Mw^Wnk)cIe|!8r{*C6JF$3Cv$Nx|J-!%JQ8G!yD`G5MbbNol|uk2s`IGFzB0bmv2 zkMS>5|0bCLu>kb`a+x>)N>nU)2BaKYt~EWBGrWm;dzoC$_)-0J{He>EFoxgCN6y&kaE9@7Vt8{?DxZLjz{+ zA0+-6^(i|=B5`lw{kLepcl0=a55G)HnRO`OfPM0W9no^z{JGF%L@nnKVK!cOr5ws zivb4MkSiZ(+;yS4bsZsnCs+q{w&V*S9IY|fNUQHhaV%yjm~p$t3U#k1g^cW$?q)~8r0X#tf(Fppw}OVh#cJHn(6;oxI=;oX#9 zlXx6*q2P0tbzgmCPOwc2VMUv0-EfR&BW2jQh>s((IO#n`*CkT6-YyLhR@E z6Doz8S@JBRlwqbClq=%i`MgU)=DankFGKfO(UmseJa@;RF7r0VY1~iYE#i;~5x16q z8B!^8@Ij^8(*6V8|AY!Sf&A;N@q^|66;g~$3~bE*hK-SciG`7!;s1=>h8L8!vdY_+ z<7HO^gVjJcls3krvB@`d`FVj}I1w;qd8rTsKLvdZ|?$$do( zj%8MNOONlyswTGyY> z{AK_-c3#C56(RW_zxl=O-G~Gm(RmG@_es(=ukqE%Cu-i_yVd~5mDF-OTTBP-4cD)8 z)_vmm9W>^N+G3(rx`Poo!8TJeu&g`>eWY9hhcy}Ts2P-1wz(gx(eSSjtLy5OwP+GR zYRhM1$COl7+LYIxxypiyuf;sTsr>ojI1gDlNEFU5eYlP z%8D`ZM?I#zylx6kZ)5|$S3h1sEd%bA&DI@tGAL^KyUaTw#2z(Om)b!~>D!mwpiB_f24m%t1^kBHAR6J$kbZ+Ktj92>t> zAd$J0)YWimOXdPi`t`hY(lj+oxeEVEE%st7Q!gL(*)eP~BXFsAGLjT{;;WVNmER~Q z3hBPX$<18g>!Ox3zlJ?~{E7mUEUu2W44Ws#7*O|{1{9(Y-=a_7=$;hdnfW3;Cx{#K^gk&dv+N9?7&X_2)RP?w&|#0>njFpR2hjd6Z9ZWVzmKw^Aa0v4i z^70+M7kF@8pKwpG75BzPoy4i1NIj|*BONJY7k(FXL*PcX73GFbJ)jjdnTRgfIBY_{ z?V6M(6m1Yq-M_XGpu3b^cr&S=$e8%;@+qh@8n@N#0mQyJ|xMpITP~85JT99lpFFaj-FCb1tE`9PnSvQ4ed^aQ) z!pndZM7@B`euEV_PsFEQ-GlRBZ}F?Z6ePV6HAFpswcywtm6h05d|e?gI9+t!pyge- zLAD*4gH9-0!VfeYe(rByg74@!!rYLdhzNb_VYUMu{SepiL$13#gHJo&yWVd2y!`Lq zc!l2)d6BmQV|N(lLwAh^zXZGxcteQ8#CA{y<#tN1>2QMOkobaU5cvXUf?anUpMh^M zaKzq$Z>-tj^@u)5Gx@oJvPMsWe2G7?Wr?zbYJ!C9pz)*N`_#VogxCfJUc-4sKGSB3 za>JP-&h)y5ZTD#mUhP8c>e-?B2){x^@b$}$zCcYAW(VH&1F<9U5q~hh2i$|ThCRc) zN4O!~(0ZA)1>KWA!`-kQ3(BH9!PpA20SWsf3ftR`n5wA-!$MAc?w%ZjjS>;6cu&Lz1sf!I_*_DT1AYNN>{<ZEYyEd$NjGb(x;irjz79$R$FdZnd5F|phkDmSzHqsXzW$^YFB!xrGdk229 zhAi-MW+R|?yUlo0?2~UiyEw#+FqIerqbc!>EW4IZvn=Ab>06%0BPg-UGyJS-v%%{O zrck&kaeO8-eNBr9)dE>rN$IfI$msAGMG?{KU4uLj!GJ(ukoONS@6N7Y*?5;W)zIi| z(p0iv6M}YjOC0*2}AXgv9R9sqGefPze~HV&%G$Eho7v=29iCzqj{o`MPwqmYoTPqYdwpM)=ucbO4h1FbK^=wMl=D=>6RG=s0) zSx6PPVA5;q1PnR{8KwqI*!Uw z)ZpF`X;D~SZtOxiEn^pn3!P5X3=VPT0?QKob9$IhxFv?S5a@k$1Repw-c6}_x8U?Q6|U$ndq1IcBpw}5Pjs*zF$)QGbY zW4;cv7&)Np{0}=ZS%;L1d89+>DEiwv>6|3$wgVmmu(GM_)1Tuk9P`Fo?yy1(@)RSu zGfy*5!+ah>0azpr)@jO9_RM4mQt}^83>s9C2_x9;2xNJ-52Qjrjz7a7?E}=Dj&osQ z9z_x(agFiD1)Bq86V(k(C5O_zd4BjP4W^6m{7C6YDQ8G%StvR;O&QVtJSw|?8mq#x zApi7Lw02rNLM^`~lcPU$)RN5Vo}xZga#$?Ut9Dd$$vHP<4YF61hLo~oq%i$oguP>| zC_%TbJ8j#xZQFBA+qP}nwr$(CZJTSFcYQnAId`9A-e|wv zMebZ{v-~72=F{E9PcTwZhTRukPcAaT(I|+BXd9Km9aOJJ?fTxGDlhS|G}w8l=Q-j( zZ)>V6r$-q)MwYfUQ+zBR0O4=c5>1h{U5z&mUW{<3yuq|rm%CF7r(Z87klrY$t92)h z)g(D)Olda^N0E*bW7KP5a@Ig?Q~;}sSaD9~n+SsgPA0wHLtt=WfXhpIq$d{ijB`(= zMU3DK4f|)j8Fgu(kSpQ{Di9MDZB#O1teD@DuDv24 z&&@l$I=o^kZV9?xF&Wo%o6>BX(4;o0IcZ$AXk1ljTpegsZK-BeSjD2Xib;H*>^YI@ z2-}vejh{ME~~I+r65X}`KfIO{0}`N9?hiM_|WO<|-e!>4dDJiMc1XmFd%T7E(b zPV$T=+-#i~RGSj9C3MuHY*RUIRkYPF_mR~#Xz+0|kKk1y37$u4q_qmO?QOqHt#piW zPuWysQ3l;aPkHd}vJG<*j-{k!R*rD%S?CounQpjJ=JfVj{qn6mi(1jB%yv!B(^B5% z(=(gi$Sj$fRJm}HauwpEw31g}5#N5qbKoWnNd9&hhEpVi%OH@N)?0}iRxxzxrEp03 z&&8;hCQo{rISiStyiFIDK-#{3;e<+226{8$p42QP&JphRjSuWN$DYmHmd4O?~TQ z`^I@0o2H(UosvzeYS169f_Erh-9%-7P#+$TKA|*AMcUY-Oib9o_Yi4}iVSj^={O9{ zx= z^N8=rh=*?{8R&nD;w2z z)PWuI5iWK3xdAm}kP4!(akpshrtl5w43ep|ldkKV4+#v~FPdIj5XABumULjJhBKdf ztX2Ivzx?X&^f@7POqtMx17jLWg4cM`W5`G7q;VV*x(2*z@~q+1`jTs)7wMNm?M2oG zFPqn{K@tPH52QBYCo>jLTJT7HGWC9_n0f%T0@o^rw!+s|{njG>S3_S^z98gu`9U@N zOa_raHYy1qQ`%Dl5%JLkN@KJN64l039(k^Dyhp6}&}<_xrl1TMQzE5)WhS)I(Pk#s z(wvPcXD0rFRN)Qn)ydsiTLV3VJ>xwy{(*A*u?tRQwYiuA~oHbtIaKunZlffM!>eIUUF@r-b8HA`8nL!QKaJ!{^6|{C#C*+S?x@L1&p3q+8 zo>v~{erb%7y6`)>{;qq-%~wos%3fUmS;U*a#E2vr#rb}AL`979V;+_S(Bnjnk=4Td zZArN4uL<%CleW$FR7!)}gDect>0KEu(?ip8rua@6W!QnWfXoP%-aY$PZ$w|B)aCOEn49G0qMUi09$s zWnk3vpmP#ZGwppmn}(dMmBC2ZNTJlF++?YPs5^(K3zvfPJ=*Z;yCAWLbhpL#!BjmD zrgz|X2@=3~1VV7b$DI8GuF<~3FM5HsEhyw-8yRp*xh;^VAvl^65HXp@-NnUiAhI@> zK^&guCMFiSDn2p_gT=u?iwa-Pgs)dYwW!g}T&m8cSTvK!pmBLzU2W`wR$+_`{%#V7 z&(BA3uvm3jP1B-T=G2`Gy{)l*XVQMlelc1m%*7m9b;u=s2m@)r7b!JN;&5OmdA-4jtBiuXZ0^AD;#8-M597Z*JJam=#uYFyo+gw_B+AE z{Gb4n6se_Lkf@28omH=N(ROc(_20UNDQ0VaxobWj$VEcsnq zPHL^Z))9X$xp~WRvrO^(brI0+mqV6goM5V%{Of-%nOWse&hd(%5q&ZB;mjydk@U^I%1L&07^K83J5Hj!FgDZv zbQ{xTEo0VuL6*UAFayzSmV%kNr#uRX$y|GQc$7oc|1AZU4xDCmw4A8KxAzC|hX@`= z+SuM-`M9K{&~!b}dALGNUZ=_7^*Vua;YU~SZxKspqDE65zsjHa@8{gIzTT4(cs!4% zgvfVlmV|-pYW0ZS@Sp5_CzXp`caW$3(nD$3{OyM7TypfvJT| z2E~yX^g=Y$DlCu)MIhYbV!>k2k}xV{NFJF!7-DEG7yo_1M?gYGz{SDn%*5WuZ^mjN zSr@YIa)-F3t{h;=4dv*eDzY{4`OevmDtK@q@Q%t;UfBNnNP}gbu8Nb#%V6Os>9}t- zJLBP8%EaO;{u$KiY|oZ5ec*Q6Z7?|au(8sEeU}b z#?9dI=FN!sOzOV+9g{iJCs?w7_gc)D|C`hJrfu%L2;-}|eNwEUeZ!o?vQ`xX!oQ}< zW$|_G51!MElh?PE-3-k)Wb3pDH8>O|w~4Aa!AX7tX5s$kHh*hOt8`e*D=?Fb+6Q@N zFAm5~7rlt|kVc!Gxu`gaFK2;y5?9omy|_e4+Z(kqFyp!ivP}Y-o?tyjhF2B&G1j7MkKFsJTrGy2Qt;<+aGye*UNDO|bcn zI2o>>5yvR>#S(cpDz@+j_k~QUQ@Hq~$2s*CYp(`GXeA!$UtfF0vq)>|PZAy2=S zbt`_vEbEHql&fdPxb}p01&lSXsO{pnT0zx?RZqXNx>4gDsAxrfO`qn@g1x!EqI6n& z2Hu8YRb!-;@{&-K&o*%4k6CLbwew=q5@fsb#kC7q zHWtm9ze@3H2DL{uVp*~*WpfON0IER~>Q>AJ3Eo8=ws0pGHOk&GC0H}UPBx}^UJt65 z+zX)3>*LB(S~lyNdTMexhAL_s)~N+pvPMQloue1htg(d^jVsDlmez-HXDqC%8nFh; zM6Xz0ELc?uR>eAx=GVjCzK0aPU+dS~UxV6wR?dR9x53+AME}sy!%VD{ z^s5D2S#RFXaaRw^1=pyC+EL>sOoznG@`DbgQ8NYlNKomVj_zH3}gR@)wq>M8ic=OTJU6E z*`#`6>)Bc(r~$QBFsW+Q)}PCpDbiR}HaOL+Fh<0~o0bP*@noqSz1KlXo6L)BKQx)L zrS_ru-8pvjB3QfEg*Xm#QJAeY++5qb((7_>pl_5FKzwQq@2%+f0N(Lf4^~0QR}=99 ziX(|PacVup%Z|1FSti~S(Yhb682zyURWe+?tt+yyenwd!F{>FWCv}*2GT4(tZ##CsTsne)s~NFmSrIdq4Xv^vWVZQyPI6s5SxwYTL;i%* zR5Mx!uasj;WxoB`VnVEh%k=DW^T}LaG&aJLa8;FqcS&L_YpE({x1w$61FJI-r|B&H z-&@nIl*(~==dgwh`aGK;v#hn+OWmVZ9Nwy;Kuyz5$C8e1p?VbVbEJ5)EGoRUmQMp) z2)lJ&VQM%5)%0Or)VuxSrxuD4urk{^s;l_u5P~wGjuE29c8f;Di`a2Ei5bF?`{gz+L5j->Z!>f+$Q)SF8 zCmUDqzsS3%tK%4l3QHyJuR-k3hty@o()`Y_fOxZRgRf^U+*7lx{5ywFCc}$I=fB%G z{}T#*w8Y+H+x(Vn7{+&hYkL}?2rk;GujOqx>*H^CIlD1TMe!^k%qjkVCIs3|@vZoA3R> z(x7-4I2~8tb3?n|jIGrRcd3o(>ru+~C{wUOa?vXoul@CHpuz@v>}s@wyodIcuZ9Yd zU5vMcd@9eo7MyY6%+u$ju)JQ;yj!^`=*u|LN1+Uw?d8y6!_$6|J? z^PT+}rE*qz$6wz?;4zh+HOv3IFw-QI{@iDI8qDi!aMVd2v}YW<$Je_bEPi3J;~&Dk z!;k+k`eG#QHkZlHEjq*3yngo2k7SEd_0?h`>=aJMtN)YmE+_4XF3yME`u5_P((c=y ziRt|O$EK)h4Rp>D+UlnJv*YXwWNmIQCi`OwHoG-_VtIjPx5GVh_xfL)Eon!7D~ zXrRhNJ--h5OenH{tVy|x0klbzfIbVmQfdX>25T*?Q|RK#zO3?kQR6Tyb@yjtR?&zW ztD;~bJ4Hk38Iq%phhlNsPDA2|om+r7Bh6@kv4 zzcnlGSA5Ylf4dkAC5A<$Ckydai|Kb>R@AHtK65Co9*cJOP_p>%Hqf6m_({8cE^CO} zyj!UsY7e;ab{vl1SPbS~*3feO#%>y#th$i-F;o$d67jqYhB>r{0$VdUPqfEw^~iI- z?~mS00xFQwv{qSZx*TzTX{nFmrWCSvy*TB%#0s!%8imcL{g9|uF|U4(61TZ1ZKuuf zm1nw`8OjQOPD4JqSFsI)vl{U=_ET!3a}9YF@vSZ=_a^4P1RNS@Ne-ryD-b{8hGJcN zliAKAX;0YGeIN_mLOvaoTZ*PvtF`_9P&_bb1R9-8#I57R!@^*lN)FJCUfP zpn^YCXnnFM>Xa@0=6U8W*l^-lUlX>)HAB zb@7NC_LhW7vEwF7ZNHyF{U`gR#ECZV7#+cP6kXPi)#}yl21c{#Q`g<)wLrKo@9&#> zJBNXuX2$AO%iq>`_`6T&%kZoB)A<1OND;i-)J433+BtkfLQRR^&oipTtW?p zPo>j5A+ivW3O|+|9b6BCHNao++4hYW6;{0Wmx9I;dMzzK4cn};&Blj;cdIS>ZDhYI z5Eq~E^lJIpTl=#pIQG^W8@BXL?Oh8_t-h&~17h@^(&6f*vfGTY^)vd7rh^sK1WBho z?B)AKpVbU>w_KdNvOl=j-oHZumknHfGINU_3U&Mn58s(?G<$ITF0(!dWp}je>-JR_ zC*owWt8kI#d-vM*8m5N{Dp|9cLUReNfsbj%um`mJP{DJMX+9AmqC$K9qhp5zIn3WofZB2RdD7n4pQ9N3(<7#{Quq!ig@eY@C zZxHt@I15Nv1jFL6Bkw6w-y~*VQza}{*_Wq=7*i_LvCb3+#_}io?VIm*+tz=$Luk1? zVlsyjeW4ciG~Y#R6o<`5PKX6UfHBrSeO;(m@G4D4$Z5Z%Hm^GqqL5v`ZnwFaYl3`O z&cvpPgRD?ZXYDdl?2f~7crD}`%d7Dm0dkBJjqbv#)PNVMG3_<#_MHt5$N$AKvwdh4 zT8ITdgT~eNQp6Eir@4W{89#Y#Rk$qo7>g$bN?y{jqep)^>E`Pkis1oBgWYGUxI%&% zAq8#^CUCvdt!uO0wJ022YsWj^+q@+4{QW(H|0QSal?5Gyanf0K9Ly!QqZ%;$eK}EY zZAg=qH@a0Wh=Gl>RZ9<~?`dlH2~RHAIemLsnxYdGt@8FzYLjhfs=WE<9o?+vD=I=+ z*5uWpW;Y1)+P>m*xq@+4kHnVk)UB=9zeW~Nc#??{hwGM-Nhf>@ikg zqv~ib?<1%x&ZKlY)9b6GQe!LFgi}YDF0_6lebF(;OrdOE93GU2Yp_3?ZLAKCM0Jc| zT}aq@UH4#PR+0`Q2^<1dmQF9DKBwNDwImhu}2qCJaG3QXSruwl!f!J%zmA`uXk zd{%E~M~KoS7&pL`$9s11RbnQ?f3-0eN-6De?ly!1x}O&|(APDL*+t7&ZaHkeg#zIx zkEBW^iP0*#xK4_r!rWP6*o-iLFC^nVQwH9HdSE=rvNLZsqbD(nFMU>FpIm%`oAk(UVQC6gd-c!WTQ#6JUzcAMN>w8D*q9M{zFGOR1o>1qyRtdlG9Fh zILZAit(}xdCYEK6f#kqla{F|`Ir{u(e5IxMuasg3U4%~2;%`FXftm27zFLYtX_P^r z5K_96PV#z?@xef1@+;v3F)rM@**>V)Si4bw2c>sGfPlcfO%&A=Jq3KS^w+-xzfD%# z#rH%DjHGVv^9MW`hyR@wDjgQZlrC93 zK&9ofDz@!5>@ZNf9;bc^*~LBwk1Kxs9ixL_((8p82#k?LaneZkEqzql3?14sTX$MF z7}P`Fi?9aoxW`o?Y>X9TbkfLepvlV;M`@iGleAz)cM^Nm)JgK2l9@vhvlUc8DwX>* z0l6?@nfhTOOGifkqDi?3&=&VDKDuoHOHr$(4`+hk6+1Pf@0U9Mr|Hr;g)2HXCB)hxiP`SOMpc`&XtwVtu6xsxgI6U+xd40h5ZEapiC&ZzmjF z$)7k)jX$buZsZIa=_Jh5!f?!bCdO`-CK%GQk4AWl`0rW`KKqG3W(18;KE$F@>0WV* zh8I!Syy(R-=JI;ePra1RJH-dLpDK=YjFiqa@;C!*^~6Ya4SmPo+q>Ni98h}W?e6OR z7ysCP(L~(ub4+4)Jmz4oZje_DUB0J`j}hf0MimJiY$xxGkpZ_Un44aHzArBi&-%Cp^$Bo=Fr_vWI%HY)^PVT zU@j*uwliWPvfhhzPHTmM#x!NUEo~ejcxzslL7rgCmh@ht4ou;qjm0U6e(x-*B-q#20 z;%}uS1s=?$zTV3z?d{jGyc8AcLXwp1HI#e@ye_2k3B7(2@xbTKt2lc(7Kse%!94;OyEnX@+p~uYuhcm91MuD1}wIhhf-e0}JfY`_r&8xL&nc2>Pe9+Pfv4GQZALxk6 zfJjAN#g97r4A_@(c?KxRvMQR5bs-{*H#)Bl7YypNBQd$v6tPE@PV80(n{A0`^1Pd} z8bP55_eh$-ptZgk3|t`i+%n^tKSQ5SdXDAqK}E`FT%mKg`2~N-j!5dw+^!k@x;6oo zgzl^$X#-!O8fnN8!H+KzL+WTt+?N zt(vOFU!q6SD=%fSb+E>M+@T4a|f@+IAlCI?6ppo;`Pd?qe_ z=6N9+?VUt|5<(wwROJSCP>Kt65GXT@i2#h}IJvW@7>Um$1~wv}#B^;zmrU;d&dm39 zRnEx=-t&F#Pi>(M`RcS>JIjmEjY>K@eV40L+92F7D|-|qcjNG|;QZB7?ZR;SUqtw< z|1HA*FLa0Pzlf9nMtA-vLc;dnGygxq24*Jq|0&P+QJk_3VnFErr1qA9M;rwKLK2b+ zByuGdVpEViW0SaCNSjdBZ8Qc+cu;c#C7t}+88BK>~qaF9r*rPzfaNvzYeoDCpOFrXpXPt0ZWZuPjn;!&*%4G$oJqESgm2Vk% zR;iFb7nDoSFYL$RGJ0AsFDnJd;n-+BB%?3&uwvPZZqooPg$wJ&V6(?i84_TB1fn1} zMdjenQXz={qU$T)jP+sJY#<&Y3q~5#omE@4%p8qPHKik282lMx;q}yfSxWw4qs65q z&h??WHaLZzL_&W#n30|Mmeshlh*(iP%sJmEq}b#{@oIjhC`216sfk~-w^Qige%F5A zBMxTa!pMaDxij}v%Ji|~MzSK_P_bGPW@oSUXtfa<P+}jwM|qk3jJ3{KLo}~@q61~ z_lFStKVnBe-eEiO;k@zp-#h_+=A3|HWa)pVyySs2xcqUYPMhg8yMGf-012q^faq9x z%pMXy@T*zTfcrW?_A5Q0FS#<&TXvhF_m}vAgKIUL*Ogjl9K137yJMTcyy!13*uw`r z{Y`2(cCUK0z(8`@cVO$EeY1Z9R=%z1-i1#SX#uGJ0yyJZd9u9*VS#ANBYa-1R`)r! zc+Dz71Gs(wF7jP#tssBscd-N>=>?p7-Somtx#@Fu-6aN4e{{0W0o$tpNY|j( zZ8j5nIel0G)Zq=VgRx=ba`ht8TA{pMS7k zjVy2X+CB6gx$|jbrJH;GmMVXM2PIM1wSnUkL6=)6`MQO-*GspaCe1RyO>dZg%6@8awqF{{atvvZXwJW7N{oFa?*Wmkn?jIFOhqd)=0 z0JG6j>;}a>tIHJe(fxVG!F&`uf^SjWO#plcQ$PMf{HO`G;L?58y+#iGn(n*xYl`w$ zpX>$k+49mH@&{;Eo_W`&JBng1JBIwJ`T$q2Zs#d9`XG3Eh|*`=gP-ac8<=snl=wDv zMGWH@CWQNK3&@hrJNR|#&-2gxop?Ax_}6`K2fA&BINNowYUfJ22SdTu|Gf8vb@-nl zLO6$TVVpw*z@OLpqb%M3H2+_{|9cZ?a?aq6y(jelJmLSIdj_-z7e{#Ny>#_o3;f^1 z4&g(;SbvBf!$TC_Q^woel1|D+Bl5y{5Z^f{_7SVc!2n4=cz3ZRfXI)7z$f#u(E6d& zfvpUHVfxY5&zfb#dW*7JkqGQGt8}eh7`3@pB4vE}-YNYTBy>-&lAe}^}; zK+M*4L1%Sb@j2|;=77#{UpDr)tzLuv(s{9R+_kOw|AqCUbq|h z^JK!{9WyaiG>BjwhFgzpE8>BEC|eJ18>*$gb7K#F9m1{9`T1*s>*x38nflv#?|0;U zp8nOVY+S6%Bv(~dL?W61mW&{3Nh%I9@j0G@XuOafmL4}WEp!_}5H->W(HLGGtH0=d z3|(k0pp7VqrqvT}$ zsf()F;JqO#-POgF=G;u5zQ<%|`!~B(K-ecoSxf0Ak9SIqwW+aiTT4~*kdlrH1^V%? zqto^VU77Ism^8v;(!wfRD?<^n=@N&k`1h&#u-#Q zOklMZM{hrI(88^K352e^nnH6*HHwLZO~R5UcbH)1WunSqS{6;RN85oBxT~%{I+jTY zwqC6VFCk}L&(Z-?w`pGG-5{T%r*PSjK^iA}@2Meb@98rH;l_NVXBcl`2DPvi`AEV< zTjd`}l~}ssn;b{e>h%?uUQs--be!)+CiKL519dYii1Bzfn9eq1h6U3j6@nnWS!4%7 zu;g{~{RGL)F(%2B2n#^E_iak^wj!0Y20zVQ6^Vg& zIu9NA9n&K&!fq?ntxMZh)@Zc?2OWQ+oA3pA>3TOeGo!q!hwI>W2Nd_$v`;RaM%iNA zp#!nb;ar4TzDDC}jxJwMvTLG&XO?kAaHIJFZ+~Vf-hkS2s?Gc?*CN&d0kt&ZLpN(G z@^&|hC>^2n*!2C>C6S;b%xY{}Dr<{7Ynb(oTa(&?rjOzkU!;@NRZ7+d!>szVVqU|d z)REfMRtc1+5s7+YNB<7WIin?WFSg6{6+W~C`b%p^R?wjXvb1>=xKJ-KGH|s{kRWQF z>ZOoR>>wcSACPDH>&7YBt}bKY`nYLByuu+!mY2*;t>fj^l4TWHAw78#hviNj6<6^| z<*d~{Ra{;tjBj_a^9*Qgx&2M&^qgxUWLZ|?bi(V#f=E0K?fFDG9^@VxU(A7~Mhx(_ zC8Z&^4(v6$SS)B_W-J~S@K(_lj_@JDxuse}NL52YJnO6IWKeYreuzYI5q>a>8T0DV z=HOMBQOnX!aGi5@tEt0ZlHRg0SB?=A6mL9Jzf@1*M|1GohdGtTPO8g~TaRN z){e+r$_}ChW1X~IX@`a!-vC7I+U`81KG|T>)+k7U!ge@~@p%#Pel*!?cpoVJGF_NeJalA*m@uPy z>DzxQs2r;cfI$D(0@F1bjTB@|r3_*6N9T~3TBa8cg^m-ESGY-*cD782jL}%p>}qPm zVi4Tg?zIq=9znpG8vor9jOa+552Sp3ifHSsnO>Ko)79}z6e3yDlIvwa<*L_8crMv}$O|kqP7tmb6PIERz zAk`_!+Ya7zd!p}_atDq@3nbX>3i z8J^OHM2|s;cOJ6rSV+HE$h?tYd80vh84grrzGz5tqrr&udqJ5uBN=ygvTkJL-B?Jw zkzgan19KU7RC&(gv<`2Z8qkjp; zCz2v^4BpxEuIS#O^Q~w+qbK<2-huP0=-$b5j3RLipMZrkOrO{VGfbb*g)&TBlc%z1 zzL9f6u`o>D{_~+|zTtB|u~!C9fLOf9%apj|OU7VGuB2Ncp`q;}6g&vMdbn)lI|8zn}w1+xc>%`Vf zHMnrL(v7X2tasud*{h=et91*N0M5HeGpsp`8V*mTohZ%ugO)HCoqHfQ*X?|Ip7FpO z32`J&u9wNf#mQH3UMH>G?yylLp(n%>$x&-B%{8)+7yTP-B%+sAXusbN_pt}lA@@(R z;fazkWPxmm7Z0q^7Xzz?mTZmRPkDaeM%4`aXo!VlRQ$PBqPJFvU$=u}Y}E zly0iK#O`F-Y2ENv37T;rEv%_c?t;A)Jk|$W6ryr?wSRSd4T$ZE*c#f2=9#`ZbPa;L z-sY``Mr;dy5*+pu`ob*?^upM>4kNi0y#B;Jel^+yC$M<*v7H-WNxNf!dQ~i-%lSle zqwQ2bu05*-Bz?SUue#qLbzBpBSJ@7c@#Aa@ePG=V0Z%Dp7<|TWhVCtEmsOYFvJvPB zyrkz*zI?X0ylj^q2z{eijjLy~YIp*Zx+8wvX1kSYE+2;_D*J9DD!Xdunyfpf(|=a8 zuX-3DaMX%d`ZiJM<(yO#--0CoFO9p8*#-qi9{%c7r9oboqil=TnynE)ZgsfXHav#z z5Y?v6RGgv}u?`Zk#he!FfIguOPcwMuqv4PJY8yxHXjwlpWHG0YZHDqd5Z8?GrhV(s z9VR$r8fF^~2?v~=t6uFd$uAP=juf3_RWI$TJyo2ylyxCnMm87vpdlKY8T|mMekCmH z%EGj{>wkeGG^jmM`+bptWc{3r!nN zNCP|Hs?BSrue3&3M!Z5)CY^`6q9*ELlB_1ufpBuN;9H`gi?nhk?ty34PaAy2rk^3e zwK$}WX}d9~!HLZ!I(5-ba%?F#M9Oqlj@V-E4PC1mGrLge)O?Nu%_SZ)+mP?HtbR9$ zXX`8m7MmQxgDajHupJURE+8Y`Okjz)vQHj00o2Ld$S_GyfUcmGK&s$N=ve6&^o8Y= zC7~Pl=r*Cy$?Qlo8J7r;!5@;{$}h+#pe60D$5AdhJj5o20xm6G1caBFFtIS^n?d?k z59(K6KmQ7H0GWBHpSt9d9vLR~uR;u?iI|uSr_pHgqHP@I(qhqKJ{v2QWTr?mhY*}( zP1heHL?pM{2w{I_$L*BNB!l$S~ z#XXz0$HQ5zyWW(gCrvNEjVb6JNlzT_uLe#H+dclcco7S*4ljB{Gd_Xa?Wu^>E=MTu z)UI#?yPD(A8{J2e3X+hwZUo7R0mhKY@y^_Cyt7jc_%XHqq#y> zO!l&-)!IC?ln>3Vc{v;NJ2wZdyY85fHfShsBXNen*ky3_PvVY_ zwYJ0>;oIsQVUg{Cc386xKt{iDb{4mAJzkN_P>Bd31tJO)T}4nq}u6X zO4bS~neH)=koh)QYK`&5pvC;-uaybSU;ke` z{yO&JbCw9r94f(@BUC9|J_2VdzT_1>fhSOrD!T=v(sWorU;kh6w;bIPP};hcxzLSF zI^POYXg~bzvJUZIt$AEB&B7$8C)dOTV_6A#CQKShF;UILv!~G|_}pE$54AEqu9`|- z+8jQ6O+KHeW+bujm;AGTsB&S5W?@Pss^3H2i{I&QQs2DZ{@mZQx2A8ozWuqUt#8%7 z3A?AOZ>+w_xzB;U%Nv5m22f3|%M;~5Z-<_1R4p<00v$SJEo0fM#aRQP7v}=iQ`bX} z+jvxNaSr3r(A$z6;$g9>u=^Y|GQbW}4riq_3e$1IkE)7+Xu6JQs5WC~!6@xjvMrtG z!*~6^{U(gP9t4$x_+UdGoH34qMseEf7%<_Cw7`v+go}KeR*~|+I8K(ie`oo6BAEtB zF!F<7|IGCFbHdIsgWLS7Nm&oioV^6BhZf0#_3Gx;ReKPu%C%0moOjW)W32umZ*5&$ z+9PQjFL*)YY;v*qBj>jA@7L3yqS2+I+G*uzJ&Tw@KrhXvG&cM)G{EW{yP@}WC zsWweUAly6F9qVB(Jde;fk|Wj~>OpuoDV!XEU*L=Quq*tIpf~0X?T~S}D7=oSH}H+= zuns{_1V@-BupR!vbeK2XU^pk78=;MuPV`#rTIgEjTEJQ~J8nIY1HJ*N0c0UaJy<=w zTu??pS;|T{FPIO`2L%g02Py|92Lczq2iueU$@N_Q9KL{Es4h$wHYOxCSQmm9-;?gi z`aENPsbFDVURXW^E+kYKTnIi459$W`266{w6L%98D$EuJHl#Jg76LZ3HMAC52U`=< z61pWcQ~0^yc;18fv=6uQhi}RMk?eyL@dSy%ALBcz{p7j~`i-2Jski5Yt%UJgSYtXkf{&>E-L5 zhzI@`gq`7Ck^_W4{L&8~ZU`avjYNB3QpcTe6YMkIHNO=5bP!2>_GiayzVuTcFIEk>?a=nl-!B-v0JJslFF%o< z(G$fQ@RdIEH8|#HXnvdpdjIo04En)l=DbweAXs(}HeA0^Jyhrc-ZDSr&AtC6`9D{V z@-njDoGjM&yKB2=x5KWYs}9~T0+@c*dw?&%ds_Z&o`68eKDKd`;dx(Hta)2B=B-a zZ_*BFdVtg5q2_!5o^%nIbC9{RKTevbabu5y(TUdXww`K~FWdpN)%WzrRe3ERlbZEobSG4z%`a6}1Ll1f+1t*% zxyhdo-a1i?PZ+t+c>^~0#K&C?&G7Q`I`_iS0pbj)U=B5AXE$K%2^*d#{A{mb1& z%KYz-#~4{|G^73yRZOy#w?9ANpTen5Sp`vUd)x9+LLUZC&s z^ag(e`A7Y#A*hrm8Bg2}97GwlXZb|k;V9TBK2U5T!%%i24Nd4$&Gwrrap{K5R1osg z(2aC~PiP@aBDa#!DTKeqzRsjRHtL_#Ut5iAJ8_vYd0qJh8+A4SJ^nzD{(+-S+&ZS5 zo1zaMgt4)3@F-|)SJzUnEiVuDZWy+bk(F)LjFR>!$EE(eU-j}@7n4$g5ixQm$PkS= zs@NUcz%+E1M@vhHdcwLrjn!MoGj<|#ilmL2lCs+8f$s#uUZeE&I;Nx*{tYJGkAASqZ!MK0C}E>Gj*F`LJ=u-wz8^;GrXWr;t*wnW7{HFLE}cJ{b&do2zmX-n~(%7;{5zwSYA*OaRDY%J*Ek$PFYp*VmYqjKR&pT|n! z8LKfF%@;S~1ygbUEL1Z>UFyGfSulMl%lJ`UE)Kj;rnJb!88xAxFr-qPjBVD*5Y{z4 z>a;SVZ5tAV5JpwOuvWN|{uo8B`UVAyr7}6(e;T2sn2c50)l*79xsa$NqndzvtW~U& zQNzl8iQVU3UqH51Nlhy|YimiYbw`BSOONwaaT&32C%=tW!*t9xcjSd-rBhnGNZdbdG_oksp^y( zsdkgn2m>Zus30Nh;t<@9WLNJMTk^(ZWS;1}h0y}AT$+b6fK?E>HjFxzW~13lv*XIN zV~L^VN|`x!Om(U)mogbVdY`H?+f4G8&P+~}6ZZdM>#Ty};DRq2U_^F}7A3cXC0!Z#}lAVDU2FHG+{nGjvJF6oGjb)2fIuk%_OM-}e}`@~Sg)L+8R z(SB-C6J34LKtqae6#}*_#}9~Kshx60Pj>{}v8P{aYW#%?FDOJ?gp)qmrtp}{yInIq zqhO=@ZXQ(8m39>?N*ReeIJk4uN?l5RzVxkCxAVsHQZob{X@YL01ah}?vU5TC8}aZx zak+!FIXxDfG02AfG&-(5q+rdm=yaM_zJPN;%X=gm+UxG=^BvG!S|ilT`6c3gKu4qL zY&>Rl`!zla$&;#apYZifncqUEn#{alV}*50`~CWljAvdB$b zso-^bo5m5-&ylmv;=Y}e?To=II}|0*797%N?!(0_x7oJLVk@0}X~uneMi-@~PXxok zx!h{PH=uK**FWx8+aY*H9giyzt#h5-9dH9a!m2dkVQgVQ^FzK} zY5dlf&H8nI*+RVe_%q>=kUzTMRIGC@lomSL-C~G^g*}h-0%x1rALYoEiY_Vm1wj-; zDm?SE1s1b%p>F<#befjd({-1!4mr`LKpcN#EBa#zFNA@g^cmPUa;)y73Gnj>dA9(KK(1gsGI2 z(iwFluK6BoOQ))~WtB8DCB9)J??XRSm`vKnW_2siU-9c~^>jaYH}w3+r;Y3GuU5)N zh-`*mTW;05Td+M|^^Pam{)P^-Fd1k=t-(_Y>VEU--M&z3s#z^d4PQDR1&cE2EyYzT z7L3$Qk=qD{&{5|J78~VG@6i4^dFL-ALTMAXt(HKadh^_!$^*Lx->)6fd`GYLwx#|Y z5}uyrCBVSI5@Q*v8$xz=ejWwG%3|Ytt}>X!a8;WD`qh*tid1Q;S`s}FcXoz%+qZ^K z_}Iy%GuUn*>#JFRvhqjO?w`iP+B*2`XU)r^ser7atI!S;dwfqxRsvD&a1{wxY>=f| z&cp#oqw&kYp2XzepNA~%mWTU8)~Mx}v7rs@5gPLpRq7i1Ga8zj#>E~Td9QkroH{FD zQp2;t!dZ;(Mr|8y?_<*%FU<82?d{66)f_$l>VeuRx3LNK8%@NXDampGN|k1qy&-g} z&C7^XX4;{kr7gafMKssLW$Gb9p{Z(}H{v<|CSz;U@s821${;nDuO8G^+_{|*%e36d zBW$r-X6JQ?(D{qM4b*)Ro60Lk*Oi&({$iz$vwWGxG$QiOZSg7JG!&&2Hg=$VnY1C> z^ywG)?*2vs+&k{(8wk%lWJHw#!%$$;9c=uaj zqX4SLeOl4Uxc$g6m7cWb5g&srvYpLruLfaEH@4PKI3?k?C)s?JzfR^9+U%fQq-emwm8T!)$p+fHWP<8RA_O1CzqD> zZBsuFVg-j^;5-v$3%iB?EG?-+(dU0l{P!id+Ed|t)sXU1jZRjxq>ZK4i%GV!<&gO| zdU@c8&tnIgBOrvWDG=gB$qo^6(u zSN2#zf|jn|L_aTbpQOptTGHjemajEW7fksRwIq9*47Fqk zauaTZ)#MHN5jSvf1Lcdzv;sAN-Zy>O5AIa@6X7pQWG zrg!6B4>O1~RCCq@T_h6*t4b=)yg8xjkJTFyv6>*K=_!XU+o_?1r>4&+o70yd&d*V{ z<0H>`_y{KNA=lAs;M$W@beyb~i}I&%Z0_e*MKxRU>Ksqy5)w`CnZdwiat%B+M4W>k zw51ZILtKMkx3D!*8JhA}>6`zSK_Fh=hD!w?^(?19rAaHv%IuO+OyMSc}n#|o^lPS$U|hKJxOomtoP zBQ3{U7aRQ8R^!0_RirmDZXQ8)Z|V_T_nWz0?qBxQN@b-t?{cnf!zm*|lY@)_K^IC! zhOe8`jPymwrkRj0ORq0_-2U2FAh_dYs=47j#nlrUZ2uLo>-xoMtghZpwBXDMp zRHujX<#fa&xHYMcLedc8b@L15;>5FOzl@chw8sgJUZEC#A@9L9AsE5Yf4OMp$GovU zLv}YD-Q&&acug76DUhp8FF519A`)4@DA?Q#rb1EWUDjWJ-pOqPYCOviAFcr!Q96{^jRAaMylWLl%*;N|E>|gVWhuKyour9q#fk)o zPkL>~3CiI4lF)NmLT`KjZNx{)E`6xR`?JM2ts3a-nX#3u$ve32L*KKq7r@le?dr1h zoUY}B)PD0_F;=xgL6|M55NA(cg?+7L-_9p^c)`F2M$1#H;3&5VMLxkE#e){tu1~aq zm0wY(>E`fe33 zAz-q3ne1g{G`l`5o6aJhXfh`$Sc3I5BH^Mg6pXC{_&O&USnO%pYn+`jUhbzi2#-A~ zR-8`LW|gFzbu-9ps;Cx!^F6Kw@%Qj?0UX;%We1bV5P&Z z?SUVU@K1BczB1)GA~DWkbJZ!(%V~^ew<-$XIT@^E8Zjdf5Y5X+s~XY{bvjQq5AgU+yibJ_uECNIhN~()j^UC^N}%?MX(O8nBZBxuKgMG zu0R>2q+RPtm>9l<`QMCTdy(Rp0BLp|l0=Mr)2b7ldM~evtdSZ14KU>Hn2J+=V(eKY zpNpG&>EaG|`4IP~Bz(}fEW6ff7$w6@T}yhk37`c%1E{51Gq#nTyREwcha$W1=e`g5cn@U$Ph4Car(l@tb8HeK01i=? zJb2*|Z~D4siud6kpv#LAl0(n~+@$|tYPXoQvn%j%)I6wy^xm$w_~{R)FJO=QC!0OQ zd%8WOgGNAbI+#(lNA;NQF_;M6UVj}))C z{e+XuOZJ1Hm1E!D_W@!OvE-WzcG7pR!YAjBld=1A?4t8E8zvSWmK`m+c_(b{ai19N ze6(TtDic=SH)P6({pnUFN?sCxb?dN%xKlSI|M6}pwmF+A?`D(mb}u@TMpv`mP(iqe zd-jV$5g(>`0nmHE$yerIbIJ*af*iqATzO~dkIX>lS8lgsv7gVoL-jq-5bB z?5(`^ATg7DBaC_l?)QS*@ek(cO?jmpN0vAJr=n}|8-~)*!;dnc8UD@*_aazNX_YS- zm?@im`{B&@j9AW|ohBRfY;IN9`4M~4YpzOdp-TOxQ~E#Q|5rh1<0?7-S3HQzro~L{ ze=hz1m12gy)5|M6>0C>_CqcE8Olevs}VT&g!&8K{iyTWdFE6+hy1w|cHZc(Z@YmIXWe-y8+%CYFbQ1~ zwv$k8ZTov&Ju?~hBHpR(8_xJ(FA=}`^7n4SFg=9q;p;rAb2;~eXZP3!QS=wxb2$wzc#iqJR$)bZ^bqIMQ_I2c|K|W}rGm#3+u5fx4?kVZ*-bBH@H9%w{STsQ8+%Q0A10 z8}kplaXPFtx4nph?-#{ctYW^rlJ~J~1S>;6ce{M-MlRry`xH^od8&Tu_oAYaXR~8W zf-sgkrRx|OD>9}4i(MYLHF9R?-W zbj?_InCruj8hig7_gBN_+?U5h;~w2nP@VTpOW~`7IL*x~V<=W91AYc~2Pc}dN3u{O z#b-sl>5qQ@X)jt`Ckg98J%w-^|64d0e>box+aK@ME*iZOzh&X*YG&+LU1dU{BmSYZ z=nR2`59jK0$2?LX-k}RlJB=c+{4E^T0Xht?2KnXIVR&-Qc6L#<4L=D0y zkgB(Q2jF@oyTAIQwP5thl?HVCBA}lg2=OrNMa9>Je2oiKfBsSuz?<15Ozh_isu7jT zdpRNC^~64MVLz51brO-{kKJR*>`uHfD_~(L+f2%E&QdR~&)lPxYSJCU_~`sfuBa_9 z#hdWfy-1_=i*@OHbbvq8AZLFI7RmU2yW}bOR)K(WbF6W7pte^<)w~g7x0hK+gP_q8 zMNgqlHqMe}w5YHxj7KRT;ZNay2A*IXySQi49QUenYBCm#W-;e9BhC>d>@p#~8yKFb z;OdhV_wA&|ED8L5n~kkj5)?^^#;(FmUN(s-TQ8ETi2*6^Q`qLE9h`EdB2`>t=|4cj z?`9o8LYvg#tN5!=I^6z)X^(74m8^@p2N~jN=@~66C<(?${3#{r-KuRNekm1@#+pHn zh0aBnd|&GATaPZ6_#Z*kuLEd8q5wzKgt3)8WNRkj^7(Z+&~ZH-OJEuS)$ZNb5FOt= z!P$Lrl2~brd(eL|X&oNxgi~V3n3Vh{`JSfqX^y)DsJk=zCXN-$@9DyL-QaF{b}!sz zncBJ_cg-4(~ReK^}0mvNxD@0H7>^}(ia18&zxlNis7Nd(Q z#>;Z)Xjnz92yCbcAPn3QZI(=__U6wQi7BKfVI2~MEyk=%`OGXA4tl2HY#?n?CXRb$ZEfQGenTSpUj_D(C-{G&dHH% zcr--IFyO%2A$GzOO)Pu1s^SxYGavcHWT_u4CXjRMO`KAIBMVi=B>9nJ(#v6b6?EY~ zLT+&##>Kuc9w>X>rCSSLs;N!59Vv-Ejwo$qBS@6oD>A3#(=UTZL~N<1c!L`c^Yq}s zOmO=8pi%o3wKh>&Z1q&xb^Fx$W6bzxLBU4x_$IDYtBCk6l8fcmQ9xxjJE-l+)sK$*hpW+$UeNt1%XAnzVT= z@gJYEqt;>HR}W+rz0G)lk|<6?eG&}w)v3|LB{MO!;mP^Q%0cct9AkbgLii`cQzAdH zNaMbupmKhv0>CVIf8YHIn-IU#&!|g|pNRILC6Y8|tW6j-LeVA>nVMz)ohi(eBP&lV z{8ITVKvYq2=ddBdGb&@|6Gtd3FGfhWEEg?biuoUOs)10#Y+XA9W5seeCrX5ZcKjIX z6oS5l+X!)pPHKbdXIBYd?5~tE{kjMxxdT_5%H%b7XkoiWhV8*PF6IGSGFeDp%JoEG z$?MSBC0H4;q=|`sTX?ew;E+V54u~7~11G-NPlk0y;16s&QXtt3Z7Rowf@%lPiX~xK z@-$;5VWiYlVRzm ztlZ!TaSZMdGNJ7MnltlU+(V(CiYvs(G$6NzW2sO5#@el;xEXdS8f7dmY>q^O|4IDE z;)s3;Hx|i-xW(mquFt~+5BANf7nvxMNr69nW?Y}htTFhuuW8I3CA^%R9XYHB{cXbZi|1imrf{Z)IVB1; zBsfafID|7|g+r(8q`PF$ISw$U8n)oeWs@@BAml9-{pbt?^hGz=-XUu#xE%#k+O)XB zOptG3R#rDxT}+drJ=;ue>K5kO~qY$TWkIhO4cF!nq zTVj_6pMiJVgrBzlONig*{|waYf3Vwr!FUux0Dchr2f+e`;kJTcwzhslM}G-=(4unS z`N#Ho(LQqhh8}GDy{Q8ygs1wvcpnk^ALyJA#=}x5c}yhX9<~_M?3?gag8Dcj5a(KP@5l zJs@2M;QAZEY#9YJzZmX)6%@^<7CZV~kM2*{*GB9wi~?K=g=Y47jj97Pj!^xNf}N-y z>tMGaeKr{WPVid>+b^FVg%Vd)F5ehCGA)x|tXVq>Gg3F2;r;7jw+t^gV1Y&mTdbD> zpZt5_fWn`)mbBN`&V~-X?V9m6J8?JNc$EX}=1r+_Ia}@A-L(=o#LhJ9r&@jN?d>1_ zNK)a-*`J*qYl9rAJ)4*@cu4w=+Vef^H(RQ$Zf}>hLFE{^SY&&}C^!s%Jv|=Wz4Dvs zhw3iT7OfhwWA~0Ob0&R!gXT?t;6iqS>zLxqHKslLEa?x)i4(45#X5htLfWU~?GHt^ zIdZx@IA2v2wQkmm)-O& z-67koxBAMw@g$+(oj+(xgnLD^)jqnzYR0$mF4ezkF^K0zOws5)mh~-f79AeX*2lU` z&DLLt=v@9P80h;SA2j^2qsK{zFW6{xXc8|<;D|YH!HSF@TqcH`3LGd$QN){Vw=c-n z*2$9Lr)d&4t|rt%`;H90n6w}vrC7RUXi#aQAY!vm%}>N_?5%j@;cI+zhE-DB-bhFY zN9i#{3}-2^k=Vf9ZOECj3Hn@$n*zv#D@8*g3#UU{J9PLCBj1+KzE(M$ZMudd8*iCi zIk!7Y9lRjWZNtHWRs_~ zYgIq;X9tD+wdr_IE?$jO^X>9k3yk#`lU!-=hUeO%%`w}ruN!?zYlmuv*2*?8-L96NP7zqR_XQFgy9cu+Nbl>?tNOO7W%_~;jU_xk#MD+=74M4 z^u)h%Hcg$G-brnOFx{QG2lCZ8W&1?Tld!{;(ER(kwf3t#XFMmuvIsLO*Ni7E8EdrX zcKej9gN{@?{x;W4`foGjuH@@au5P$SFz|<2V8>6siHLvsLC(Jnx*g*kaPQlsLU~*n z$ev`lC}}u(=N<EFe_vS-%>P{lMmgsM_bZ4%Rfz?RClvc+Oe(JoVIdVX~|whTJF4RTefU ztk%vuJ~(;rghyXp-37LVcQU>M3ksS9cimPU#6L2P`m7+2kG1qcuX}nMmZzG2zF&9? zNZ@c2_IQ#S=D|iZ=-W{&GF-nmdgHH=o{fe23nb4A)Eb8_458-F8%_Rhyu(Ju3guhz zA>A8|uwfN4Oq>8$RQrluKAZB_#Y}YKE&cABgC>SjMjHnNe^10l{&!S}Q`CHtuBt># zG|IR`NZ8))U=6QOfmxX&e^a^71ez@sEhJ2*%n>qMBJBl3*yaX7G19<^9X_}VFHp)h zn9nKum@r4{YtHTg7LdqxR*W8T-YlxjcQajGnGXFF*5Q}W;3RA)dCo^XkFU^vFQM$#J!9SWX9Gnm_m|D*YZ9`rP!p#>j$OD9&34CtM`#NfLKWp({y z%FT_Uroqf;&a^YCal00sJJS;EZqx4;b=;IR+#Mk+anMxr`u=(kycN7s3OHr`=r0kj z<;HUy*{5lORZUbPbZFY|0-JMYZH>$+F%tgCXcE2E>lHTBAuq*z;*-r{J<+rq?pcK; z#26@WTboBytuyRDsc7nq0AEeA{@3*2O0c9vO#c$frZwSH#)fEd!aXl{&@MJ&v^Yss z>)V2Uje33M5OLKh6v#Oa*>LSP+L)LmTe2X`RLh*KpWEj<-}lHj5+n_(w^k$FZ(j3$ z!!+b_HF!YEr(!gyml7Xu)IfL6`KDT-yQ1}DR72)T?rXP4&8?4bkKP1kT9!NEqZgs` z1y|b0{dV(x3<~H9pT$1)&UNL2eXPVPKd40X-cejH7lc-6mz`&JJE+V1uIl4dT4rWQ z{{$&h?2-@2$Q*Et{1;ehmC*6*s=LH>ch{VMN~M(XcFJm4a1?pEW&?`9IrZq6Pc2n_ zv;K!(=6IJ9p>pqA*{c%SOgaJN(pe<5eylLbz89~Y^8hfON1k@j26_``-_nrydCTNo zMAmeF5iU(MHhSV_5YxKaQYmo#sQ9}_yI!eTwO!+BELm(@u_`NnDoa+UEmsS1zIZCS zV?bICj8}NUwJKmQ6gbS=W1xP?1Gi@+jc&YbeEdFo*QexDbe^KP<@m88W1qh;$zXr& z|8{`LtvP1}GVL09+z;TQ*D6pldG0BjjDLO5kukLrUy&WN zr(C>{#M-L>wGmYW@dv;0g}-_2wu77qPuVJj60etjfAj0CMCXkVi1~_JZk7D-Dd?U) zm4Em}GCUrJSS|6GojA$u*_;IDC7@H_=dr?>)W~wQnQB6Q#IuR{J>gblseXMd$XrR3 zNq{mnx&-j{HxJRUGHYiuOKI%7{mkm2!eY%aTPgNk>3-ZZ%h+^XWX}Q4fh$n>aYeZx z)%El?QMSYVnZ_#VsK(@swGuKJ@hrO%BxZV*+%0UC<>jr))QPaXOZI0z)~lU*MpfV+ zuGwEW?m5d9@Cb~!TTe6M?p8B0erL9}etx>=Ix}10xdz@iv|9oOIV&2rseO-Jjr-q1zPoaMi@}It2#2ey)RR0RUwHd47K-vIl zCq5BfYEEP+E>gq^?@hXxTV(cSwakTzkr)aO1q~W(H^`Z`DqM003km)(i`(f@KJ8K( z$*eIHFvj z7|E^2;Jw1Z!~bC_#SBBKQ&-FOJl8asMi1^nWy|%P5zb+8Je)vNs!&L^0eSX zNA5Li|LIq1#3|crox&QVyw1MS4yBRIe`w-7l-+k5zy%ei=Y|(HdX{6~h?30F9O$Z1 z`#i{e2$S70;_}@*;$uT_kI)U4TSwSq(|s#x^!P6%o+w=Ep?W-s41Zo|_43hX;KKfe ziY!SK!V4h>6bLDSZfS05B+8g)Go!+RVn#nSpV^<;O-p+J^pbf#%RS2p@Z#nEikd6U zK9#|JK_vt9y%OK7mCnB=JOyB}1(jl9so+)1jY+lW)>7v#@URg~18 zrk`4k)t(;B+l@J7Z0QQ_d)kpG{@6OGNP1YI4P$cY%=>mraKt|SaAK*IZHK>cbl+ij z9O%{46)cp!mb4~QIN`6Nuwe1HLA+(%iK<^4juTspQ9ToUq%xi$642MS}ksAi7o z2R#7)@A#NmH+kJb1{u&FX7FR#7!MsY{9Z5srA;}Zj%stCR0gX0bz;EhLVXEaChvXV z?^l5RM><1Uk84@ab!pGFalL?qt6^w&WY6^?#b^>#EKs>sI3g~c6{@k{Mso*xQO>ZE z%r^QRx4_a1-$5T_5LjrRIO&?nK$=2zmWEDvK$`IY9lNGbnNDfVJ`k-FyPtfaH-GZc zaZIApY|&}^At%(-XNBtx@|D^YkJQ;_mHWs1=?%8_Pt_-PlsfwFKbNDhv~l|k;rHO{ zafv9@gy2bIU0ZKsSEvB`Kjj|J&OWjEdwP=cLfQ3pS^RLGV1bh_q{#+Ls#SV2vN9jTk~|UwRn^Vhlg~$86(Ce%JbJAG2*Gd0AUL7X}*7SK~r_XGd&g zL44;$e4Ogf;@umI>fycYFn!cx^{@R7)QqdRFs*?b*1n5o$>$;Cvf3i zapGNr!x@iEEAmiu-9+t}+zVs#|5CP<)_i{bg@H|#RB7%uZa{T8hxKTUv`^RHj0&-sDXLg2gJRuKi11?5kcp7b zITV7BYaPXU&ce2cjw)~{UhxEcf*hI!#kv4L^d{gjW}hll5{e)=;!uPj!MUyikoL(|vpWcpvE+(V|20Wx1%Qa#R93r1s$ z^NyIr;y_(E#5vp_r4g5Dy~PwW8xo2!0{eq*VHeP7UqR{wDF$o_7Gnq9r5zNL=pUrqWqu$kb+@osXV zw<@B0!OGv#s7~odm>O-{sqlLOSlC#0-XU76W_`XCYWT&{0SMBlTy@i0y{4cy?OJ5DHpZob<3wY7g zo^fO`B=B6?qnt1CyAh%wq z;9lQHd=t#x9PYZjJK9KG7e4;JI}7eslU%hBL2g{Z*Ta)f9Tq;Lu0zmk)X6rc-qbCq z&O1+CWX6Xr@1;&)dH;g)&??~s!Ee98st5=H!sFXWgafJ<_nFP|nzP8*(x*a)-$JNR z4*z*;+h$JOsC%i71a8kUfL;do1lBcIh}T}-NF>1nr_c%y)0$Cw**Y`9R%+QgUhejw zg0^(-#6dtRLnAI141~IdSfOO>@`!p!1bY!c{pgN;%w@9Sm;&)+pG<1Ocje0DmZQz> z%3b0#Ls^ zw$$17)O~wwbF|-BjJa#|P=ah~Zf`xyLt<;St927wfJ?~!x(6$x&}+OWOvJ#;+t7;M z!L9S&S>fZ}Vd2Bxt&b>DyL!^s*ZSwgr{H>0H?7}W$Td{-xHXrx)_RS$@QdZ&wmV=0 z+fCPEy5c))mz#F4zG=^C_GN1I-P3QI(f>Y=L0_4i=aSP4TnlL}Z*6VWa5#ITwIQ@7 zbX=o5Y~5IC?Q(Eh3vMO7>Kb%-eO=BFLTU-%8Lv=S?Is4ah_~Js-vc}!&G@G^&$YMK z{8l5^csK6(*UCIr8#h}Axz3mU)=VDmDZ1~@J!eppL^MAEv45g-z%!v5b0V#m-XQmF z!f5k1uwRO7&-U%X_!xe1=7nP}A0hL%a-Nxez|7c~n3ZiI^VL>b~ zgowI1zW9VdLL0Y7$O?!j{C)ThFZxb5gBSk5`PS}Ntf#BCrROkI$FXZZ zYZ*4C6q0K>X5ojP6sC`-w_Uj|r7cEI6SgrM>{Wo%*9qtoOZ7S)YF-;s-7P(EC#A5* zsVxQIu&y<($!G^|?1(Fmpo3ah4?ERQFPGqLS$EOu-- zK<5IXIcV4`$+|~qYoHi<2rxI^gqdb}jqrYi>n%I)2aqZ|LCh=1E(gI68=1kqGXJ$j zi2(b4nkqbEz61X<$T=eWxosn@XZF{)RX@ir9_RhT_Oa5O-<#UWhC4~mHjXbJwS_+j z<^=VuB&bkS;W~eSLs--av0?_=E28DG zl02Ysmqcg)99vVKO(E;^?*oClsE7ISn?s1*wTC~bhy8M9c8$wS0CoCjI?2*5uUAC7 zCA52Pp4y?4v{rYu{G~!Un-|Th^&!0EEHTPzjzVq!vXEv9n&@(Y$6iB?hkd2CceP8A zO*i`f`!DmJ>f!I$qupY)1WZ6zyMN6$CA&( zrc!#C*p5wjz=3%FUFrR&|rZ*o36=*tA&vJ1d+-NhcS=i`_^ zQONVK$K;y5<3;{XNWi1~zG8I9vXpJX5mf%n{e-uf`t^&xvlE}WwHM&tu_-dn$lK_b zVsu*UT3MVcs9Vngduv9nN?S{{z6|PaKBUN%V_07n7m>_9+yDn~ zy83tXc}sfEs}RUfvY;i9u=m?u;ku_Uzm@FfSWuPu&1`3Dp^xit?s3VkAM2yPs4esC z+4bO595{m$WzQ^3Tm9c*0Pz z*K1a%vezZYiaDX%pASzAsy54m`e*fyJc%?uc}U1UUzsiOo-t?mB)Tg}03v_BOEjB$ z{tyqT>wgs(MBXv+vOAEK{-sZL`zR?=13t3Jrm)8JsXJ_1ePoz<9@ZRO9kr~@J?yfF za<8I{$ek5&( z2|B0Fd&%)wwT)kU?5;_aHgIb7LE{bh1{hI~9-c#0qEeV5v042`wS*eLSeHHxYCKGx zv|_cIb+r4hH_M_k&*D)!JR`$){)UfNG1eoNNhp!Nrej%bN>WYluqO9l-AIr^vp{BJ z`hL?fThUkcAJfy|9>iNxkPKL}c(8kGhRoZueC+$3?go|w;JN8nVQ%%)vEz zTLWwv1?VD5XoM{RYMZ%3I00FKESjxmF|aP@-Fshm|0 zc>%bX`XxwSD^)_7QM+wxjE~&;bUmg*oSdXyHMOXnWKRfJhF|)x?!}memcDB^stsoK zc=4)_d0Tfmqw1DnTg4+5;5mU+^=xj5=FMZmR6$ocmjbkP(^=UPUGh}t?B$~iV z(IR`Xp+L~?m8opeU_YmQqqqTTIvosK0jy@|*ho7^(%EYgqEGEUe9iigh~W=U{%)lc z2V7a~-l&jo%xA0ec1;jpd5rtlD2~Z-Mg?Wt+$VdT7buVC_eXoCcS*1Kuut8S4cj35 zT4t=$6AvpbfFAe?Zcu7B3g}OSD?-^9yRs<1r~v}z`;K4H2SpqzjB^Xq^cO|Hl&W}U zQ%^1RGVU`X&PXoD0{#MW9NNL}5=yr(kDMz@lZsh1cQ3w!dFw;@IRU$WteqMjI%21e zcH*BFbqP|y%o$er5l?u1OH+1CZ_;v*z85-h;0oNZvKDcs3TNR1{@u2p)A zWsB-_{6so$SDn|-(Ue=s)oMd<^Wt1oo(Y;qi`*e~H52~n($DJvJn9Aiil8&MAq}L! zj9iX z@82MsDP=iC&y7XBMv_)as-TjV3=U0>@Wrv?2$20-;3KT|usco$*2&PzTSb^2`nBIpFv~X|=#=M`?xG)@Uzg@N_lz z?|UJu4_#9B_D$cnG+jKge9LU1wyASK$TW|d-ywRvm(*$E6ouctmu7eQ^j?8Uv3U#Z zCdN{a%|R+vmq)pGCsl#9Of)>2Lw5Dy;YDBLB;Ii88`nG1r6w5!24S4!g}BOSm|D51V3p(N<2#K@&B)POFG4 zu%DgRy~S}S#952(&I|Ms6$zxxS%Uk)8uxMqlZtrca}w?AF}NrEJ?P`rbf!tT+(3V#*KC)tV5)}1=Wlee>1x*XTm z0JPYnvTPRRWpA|uhkAz0MuHx$7wY@Y%YofW+V3^rY4)9DLXiS&{e~@0|J9KIQMM}8 z^7$9ExZU8@h>oO}CQWRA9P6TRbxzo$X1|LxKB0(~81yi<@P;6f*sK@VxLgp*^WfQJ zJ~FDP+S#KQ_3VDhreUp0xPqG4){-a^WuJB}-Dnw#NLR}{5DK@uH?QdSuB}!4fHxpU zrw6{EeS*jie)fBSUUvF1tO>j%_gYt3abyQ|L~n^yJ1J-ITWp*WyDoXk@Mg9HXlz8E zF;i=@GhYSU&t2-3NsJR}qA5mOt#Y!=4{)NwX7k)Fm!*~=UMwb79V?P{g_lkAY`8|3 zOdI+whBglys4E_}As6$xs5j>-6opP$3rsoj1A(dH%I%6HsB5FjN@6@)em188KT`kh zs64kPzoG#IOzQL0;k+0b4Ja43M{V{C-}EJdHTbP0)zcK6CYqS<=siv3)P5z-`#cXG zfd68*3sX-YmcIPAiYx~M$>K`rj3MPes)5p`3a=^zBEBNL0kIwxB=NX8>ZlVuzqwoF zO}?pc8ACM0UJNeP0qC^MrBn&4vK|Gl z%}UKFg+K6jPT?jiS?iIbDtgxJ9a%8ji^h#?>3eH(#XAHl4cVNY z;oDzgJ1Yk6)G|8nHzA-0@_9KM;dHe``TBEO{VTJF)Luo)tt)KX!*cl<2V$W$eodiD z>L4%2?%mGNy-~^y6K%07p%~KogI+1j2mAwpj$4{JnnR6+$@Jr4D%)z%j+>%!e$#?< zc&&vG9TQlX<4MosjvI8yAGF+27!c`ADr``}gp!`-YUk+TS(>(%CfozE5ifJ=eH5A~ zP)IZsDR)abJ0pbPXD3i=BCjEgJe}dDSIrC1_x4awowV`cW^R~m5JiK#X!A7huons3 z1A{dVRX!tC_d;Hfa_dVKQ8`{1m62Y`OP^n!b)RZa%ZbKeq+PQqd@@&XEex=G-4uc1 z0bVUP>qmg-${~SKz!>)HjZ3V#-=G4~gd!fTTY-<qtTo8*;iWX znt;HmL}~uPYlnauGCF+l$WVJaMrgnK%R>xjDUEk&P3PYvlX4Sf?BRKywbMGFI~MeS z)?s_sC!^w4IVmRU5Bo}T2y72T#8;A9CA&!4Dl%{>xZ-w~^j*A9%W1fL|xcZ?eC9D`ORvnwefR?vnpxpJGz#P;rDjp($~roKv= z9Ja$Xi+i3%P0(AkYKm_2FUkVVRyq4ZJ`^33wx8nwCTAT@lL`05*GD)0E{Sk1d3UT# zAAn$wpqG!PZ>u+&FU4lU=KJROrYh7IDhfphq5%tl!T%LD8l4)Q;_KHR8lhRxxUHka z$CpREM|!yIV9#J+@NDpIUvXbd-?ND1_Fi9OA3AL|ErlwbYL%+3>U5c9IhUeCs%}~* zFJp#gS}X5H<|a>%xNrTf$%)!Oys~=PdKuyTS&kB~k+e7qd_T5nOD^zPJ}d3bh)0NC7Egd@%i6KkJdczX25^ZF#}gMB6uG%;xJ%Nzd##5* zY-tO$CI9%=_T+>O^Kt&ZCK(e{8B{5ACkzSFEPWEb3!?k?Hu^d$5%JOf(LSc`WH1Xi z2g@$0Xl!TPHC95r6+`{Z=qo(?D@ZIzta%X+5<3hIWej1I$lX5ZpB(^UhNKh39m!NfMsr z_>LAK*<{S{9eXO28^JFIm)xk-w>gcZzp$bQi{KA8O6&MDH3x&M#$`-|Q{;&LKVkLd z^=7mJWs@efDZZqCd0DSFep;osGeLzJ#Sh?4mEIN;@c@w~_~OPd-v2rEOGff9|BJS_ zfQsvB`h=4ZAb4RbK*cF3S@HkWsT{jOU4}%9DUNs`82$i3@eQ)PwQH6iGmp;AX_L8mdS`AmL&BJe) z3}v$HbLKHgg-3o5~xq`0+)BT-knncjE11DIyIBt7>6a?i})noCnk7G5~VDd*!-oSrYV ziB)TeguKtqJUMdA4K^9Qd2)8jWqc~eEq&^W7CxA2A&OY-1k`qh!|FcLA38#Ix*h-! z8CV7fVaRWRn258)LLgwn5>4SKdl@$xFiA?nK=N3a(qSpbbf3qh#%SZx1V z8{#3N!|L-ZN?056VIby}BxZvO8b2*UKa2v|%@+~|qj+)U4w38@5&;z;wFQ|`aW#D8 zL`lh-5PUY;Iw7mZ_1JL|_u`?mmhPNE6Zbrr#C5WOM?2?K;kz)4Z8R|bo_KTo^UX@f z;D;JmeQjjtf#!zlEnBYJ_wvf0zBCW4zth!jQ$I|>4r|k36eV!07tt_eO9l*J&Fz&o zT(vXmr_aI3sj!Ll-Dh_hJ^8RQ7!qK+|(8P9u^9|RC%BD#g=X- z>**|)3>Gi(Y)Pe-GU{u0<`TmejOwQ|9@9dzguFF{JjNG2q%Vz3gah_hsl8CDkHjvO zO`<-!7EyZzQtu#2j#2IndaXpuY5TJ%`;d<)Xp^@vTdP-^cH1%@Ii6}oUvZvll?d7& zKV`xq6jDw0L{&4Qxr%;-N*~cZy#38K;yjD?>!!7~zO=zg?`ydfaZyw@hVDhl#iZul zMtCwS>Eb2O5S4W<+1!I`n-!lDXY;(=_g6+Hv3Izaql|fA?gyWBNEpwPUxb z6P1QNaKr6*C^F{z);yPbhgwqJe-yMifohvm5TQgO?k4*u@OS7c0o5Z*Tg?{I3?6MbxGySrG76aKY|*k5ulJ^pZewV6o;=eqHw z7N!EXB?|UmfR8jD-fz~*Y8q(qJEq|Dz1QAPQk-tivctF-&$8Q4Y;Y~qZZ5p~;EgzK zo7MI+tF7ya#Jykd4rkdRUW}9N4wFBTRiku6oV{=YUKUTr;a6?b45Y-NL_CBS+k*kT z?^eUfP;?~OeBSma9tj_7Ee~YDh{AGoenPVyFk8N@HoB_)xpOdarPb#JyVdgKjJM2k%Du z4=la*?z{MIudcO+#a_~{yl4^TIVVUKf+m*Gio@oGmWuNX{3Cs#Gr_aXd#Qmti40%9 z)2@NAH*BFTWSiX1n>5qCYeL(~ywo5l6*zCt>|XdCTWJ!usM zhaC}}RCw#-3Li?^`?!W!SlL*x3NWM8`ZN-v2Qru zKCqltvxq3SnU;4a)txEi^}Ntry!aNfOWu%jNs@A|Vc+D9Am=%KXUBcO!e!ZZp*FQr zHHJ}-y&6d?w2J{rsmFaP^>X00#Y#CZY*@3>qIuf7ty?WhTKvpe2`{lkp3IeOrBt5+ zO2$&i1P9j%pLx6_Pg&%=pyif$XjRYVjry51jo&&>=1D$UDrsNeSAYFWa@A+`IZ*i0 zTmF%-jqRKIU2zEI>DyJe9=Et_b?K6RZ@Lh8ue#{H_G&}V7O6+w#Px(bknSt3K{{;^ zElwt0irBs11VRs8FfFbx`}vy_hAf62$}ZgLk1C=nAqr@6)IcGw^y}~m^mR^A&X94` zQ7Sz$Mp_`C=M~B*%II65`X#l{ytI3lZTH;q&G0eX@2Ro1u^wOi!;in~)~pAAlN?IR zM>$XeeF-1Q`MtZnLsoIx0^QpkgxA}#;1suyYmb{ylD7D?=WEYdg)X z|8BOu_^Q!#E4gX8_-e@e-E8d~?Hee8X_}aunA`DGbKp%4kTxj%*?CVLDAJ^EldWl>wWt$gdx5wMv53DL8rUd8wf} zef4IK6;xF}og}V8jkkD>odVYzo1< zDQgZL=B61Ilv1~h`h>fn=;^DgIHAIIbf({K%eZ)X;H07giV$BD*F#`BR2lD11+{y6 z&V{G86V>LSVv}=&_lY=ky~mU?&t`T$UNmZWv#Mk=Z3^EKl+2jd-B0`P)|A|ml>qT0 zT#r|jt|Cu!i^sp;+LQvdi^pAe&qyv7bm!@+gtuz4Zrf|CZGGOt&6OBQq|_Bif9>A% z+FX#OiNg+)ZS<@lx&;Xvdz{9HRVx>0_RpVX0+P?db)E7MI{Nq7bJ$any129dM=CU zZTUUvhwrD-+w8&t%K*UJ1H#3!spTyM|HJd|a(1_Ow2;qQZId`tn}I@FACk0ToAiaZPKw*bBU(Z#N64zn z>>=!3D8}(|n~G+L@AeR)^~!$5bmxXUy?0OmI4c^)6Bqgs-wuA~s24d)(~yr%yHA_t zPbV32_vwBF54F%@&~cSU=Ji(_ml-b`i(WG;(+p;Dzg@+w8e}{`#YjyRQQ8>>aP4F1 z>U@m#{J0l1^WMQ1z-$4&kLjGwZ^qZs&iWKYV5|ksoO;*p;xm2^ym>f7-io4~<@A+* z^uMRM89tlawx_iRY`FyF?%5*_@-D8+VLE-*fPJN5+!wDWM$$BFMipYy&R(2ksG2Do z(k6cwha0KGf4RG*UeVIDzl%Yh>YB1(neQE+mo8nd`A|}>JG(e%mtHPxlhgl=;>-p* zUu%AsLDQZAt$DCle{dB&`g9a2w6qG&9ba6D29n;9?VowWSs$X7J&!M4tM4OQR}$ZjWXIac|Lr6EK#!oaZKnvSvgTupSIG46ZxYL=X3Y;GSdbxU>Yr z>+fsEL2QtcjbjcsU+~o$Ho3$(5ztI*R46Lqm&15=v|GfY@b2+1Mope!%Ew_R&JT;5 z0Sa&#g0NPb>`HFX$)$4HZr4^@1{b6!`z)WgO&w9;$!GF=_nx0|8hzd7Oc`#m3^uP# zQR1%|9oeXPkHSB7R~(aEvpBk_LdImL4XuMnf-2UZ_jZT&6DY7{sI(NiYd&r-$f2;9 zH@vgA0WB>YnAxXHxs9nPUMAJ#yC*Rx*Oa?Y>QKJrYB+&zJ1vbI@HHGko44gt0Op7O zjWXNiNzHb4H_&vasL`@?ukv@T@0xR^q*7xpY0{a#>exN+n|vWg?n>9rc_-j#z@ zW}(gSo3suK!Oc32H)^3o}CnN7yc zF%_=9jlQVLmUIQ41}sPq7Z(AQXDgmo8XoWbNT8X(Zg!Pa>vXoX*%RkocgvbDy{*sL zZtzG@YOp66Mj^Bpw+(n$F3OFij(B4mZrZ+ASDr8DqBRI8?@w|MimUM^4K=VJX> z2se=R=ut!616lg>@~Ib)=R-s^FeQPBPX~l_q`U;3%BkQl^0} zyk4Y7k~-_c-%1*(u`kPV5}AM2!#PH-+&VsQRKL9R)NJ^4xKd2>_pEdmS^Sbpy@|*H zC4t~1UBMtObp{{(#f8{twoe$r$oOxk*8tCn+LZaO_ssgpQ+*MOQOge@&jG1;l{4jv zi7#XhQN4MF1l|QT3FePd0K3{282~KWFx2K(1#A?R_i$;Vvnd3o5T>N22xOJvi@;kh zX7#wPY>+OhWc6YpJBNnGyE!uJ*0%$KfXep=@q1D0^jrufxFV-==&XzESz3++hw*zO zwcS=2%7zl*pR?*vd-L_p^+E>mcLgpOx@Czq(%)U&{N6D)1tv4jkD`uYtApzVzUBl&K>0miUhlz8>9y=xDCFq6Dq*%-Bt+}5(BnD?H7_9af zmX<>^S_t3Qm~=YJ(9X4yF4*B&$S`7lXgsPS5g!HskGy>LDJ9##KXz^7$Lf!OK|4d) zma+NcWOV9wd4!8Uv^Y8VsCk+hnyVGUmR3;OqC%xR6)x?_Sj)VrBi@o|k+&=hray`9Q?eD9 z+XxbLBD4IwcHwJ1{q(xjbh-Iiu|DMPO1gy&;I9zw6PKV|9h0J}RKsYsF1iBBk*zbp zbt_lmr&hJ2sg0jd`>6dpW;@y~|C}E1GiSmIIwLt;6Rv<`rBh@DhFUNk4eDKJE0chM z8!q%%A2hRe!JKnhABNJ(4+T|M_}@#Rhn4f*_P$$rrV8WC!M)R~=?(tw_<}(-0k0^F zQASjGs4GlbO5|gFanbY;C@(o$v7sPJpkz@^^Y;6J)}VKS)&b)d(A2@!pXr%;^d3dR zJv233t}#%eXg5!8J?_;&8{*s7&-wc-CnpN=I5sK=^4Ly47;k*BkXslRA$35sKr4UV z{xZF@qJ!lJ<+ac+EIUMm4qJma5OVYvZeOyX=0rr8hcDa$u%TBJ>^R};k2#&K2Ivqh zJpa2+4R%aCzmsYE7fG*Z{g4ayOpf0qy&&ME$}|*X-nvDYgFlBqgQJZ_Unw|H5S=@- zddEsqa(_&Wm^8&b2gK~rpGpRJF_0o0A_T&G*a^ZB#0> zVf+EF)4DwYIFQ_r7M{BMQRaRL8VP_uBkyDr@dL$G`EZ?wg7|8Y?omn+EBxOdlV~98 z@nU|R4dCralo0<#e?3(As(?tcyXXx@ZUFjs6zA73!*d+!l?d#fbxD}=SuvwWamWYu z`=LBr4x(Haz#qwOAsXSp4BjC@?g|_5in&&SSymDWB4p6`5^G>)AhZ2tL*a%LM!v4y zp{9sg|JELP&MmqlTF#uK_m{u@{1n+>#w4Ul>`7vSd?k`}#L$T9 zUp9&_R&yqR689iu(|zO|sy>Y|@;=Bz{4p^bO1l!Z@(0FG2*htx+D2cR_}NT6`znIB z`AmX@^MRSOH740djq5!D=hECanQ-rHl=p0iaw41)WVO$3VDBezbTGAu#n;VY(wlX- z*dYA5OPJNf^Lyl9uY8^h_`zT5cGUe~`h`@EFc)wLLz+N@nX{Lt~cb*wLgFp)2qRqU!7NsP=Rn#?094k1-+f|>V{oyIUwXZoy@P7498p==o!yt=(SO$BeIX3CR(1UeTj$B9KY9)yJ0fGI1%=%gQ-?xwqVXu z?_eC$oYsv47wrN1=)4O!5r)#5OD5-@r4{Or&m zL-DJuqQ&936wiKxy!o~Q+XB6k3Hy+E%SY)D|AGX6^3PeCqmS2&XId&o8f}NZ_>9@< zoMeK0B+G_XcBm32W=J8WxYFNy=HBc z>KENyx7f#5iwHkZQ^vy;jC~9C$$tA9HM(fs%zctkdfljlC#D{jnr(e@ub1as24T2l zbe9|Hm&u4q6clzVB+9>kz~iEM7>LR>#}vn+_xvy^8o#~HKeNuC-sM!Rx@g+l>m?9) z<&1jt8!tnJMNfi-$(*Ic>>sqvpf;*fno?JqQlPxiLWCACr3vU>Umd*MUStiFQ$acLn&@s4#%LaWqU$xb8}OYkw2F^2@~e zYB5$0teZZaKY#V)FXQi4UXpe$O&EB;Y>Vx1!?~6-qI1XEf8q5^i||^09YGQ0G61Wy zAEx5)iVW%c^ZKi6957<#yB9K4Rz*v0FfRwxG$daH^A6vD8rTsGdG}EmMhWxncsGK~E=1U&zD` z3{4RO)2|yg4wK2j6K;4SF0#%P-L7xeR$xL)q?7=HTsDNK%T48nePgP9{Xe9x9NEzI ziZudOBqk7jmHEY6E;kHB<;e02waIVq8HLp5T-*Z^^rcVO%-(3-GpNMPhmJ?uu18vb zIvnC5mzUK?YeN(QUAu(DqZfK#e90N!2_@{gf5{wWW{i+6kmu}>Rg|UJVD91zV;`fU z`BH^%C@4SBl=&VEQ0-u$Y~(*(g%t?d<){w7Oh$Ym=APk>toL1nx^Vt}m#aZMX@HG)3mU9;&!C$wUbaZ0d8+S70RjT_sN5({e{DlX-n^O1orvV}Dq=(k_WN zq7A!z?`a3P<35z5-RRdFi96$3;re+#Y%k1-F`|R;PQsiBjzuElx~RznBHIwdETfTR zA}88Da0th?QF!A#a-6Ea_m_Bpn%oLX2c+LB-l%TKsPsqU94Vb+GwJHq$`|}@>d{`b z(F28)2Rl};Wu42W#(ftjVzkEaKpnv;C?LLfYE1K~v_Q1bo9` z38Vi>NMYuUtfH4rI*9>0os^wu28iz1rMdSsm8dP@(3t^G#L~Bqbd>}xs6zu@5qkpV z6#*A8jLPRNQjZeH1Xs|PEBShp%hO3 z*eFhaU=ozd67SFQv_c3fc46QTt`Cj5VyqZnQ85yqGsHNcd?$Ei!=(KE$7fJWk4(#E zLvzhub@c`!+wbz_88gtd$uxGVV!j`r<3XUzIMCb^Ra5P1=kdf7JkGy*#Ls#5&?bB| zz60*g`{j-%G5XudeFYq`7Daz^=-Y+nj?K1a+TyQXg}+p}%;^T>Zi&68!zGk`+iTJBaUcD%MOE9Yejl8Ekj+mPVL4mU4G9 z=<~fv8jfrP+k{WYd>|iwK^6t2^MfcKLRc673DQ-@)=CXXexAvEx_8{^0Q?Z4;g1HL z`-90p1hs1l z-likke%=iNW4p3_X4a^R(^A&)wmBEzo7L$-UYAoj-TRI5)hGqn^HP@sk+Tb_aZj$1 zA^xIkbFlCUOGEBv!qc02jJC3)jI^CpZ+oOYEcKe8r$J|mbuM=^<@5c~a^~b?z|nQo zwJU`7QNbO0B78@_+KGEjXtI2z3&vXQ$NHe|s3?soJt)G!PfvrKxA`NEtX39Nix!zB z*0*UZ^D_BlnR)dQg;szfc~tI5uE+^M}CSMdee4^m`RL`1GK# z%iqP?Vc~;Q1?fkre}O2^8`jA9Et3Bj`L_z5blLG2YGdvu1TtzeRexucZd|6X2Eh97I8slQ|;xk*6xbCkB#wN+{*lGt9&|M9Z-R}xAk|w z0M|hV#e&lmWMhM9@#ItejCHqvb#0m z0o{9V->udkuMhI^M_fDE7{vgZh^vRa2ELg(J{!MwBt^j%->@)>$Yreh%dGli7C}r3 zBSM_Dn>6-Xeg~b@z5$@Na5G&1Evc9()FySM^Cu|ML85u zVPynqv(ma&o>^P`?T!`Mfld~ZbF4Sg!%Q|hXI(WU$Jn6xMF9Badn9SNB@IE3PhESC-r=8V)a3n&#%FcfB%QDdfA5 zPe5~r{dC7tJ9}M;{un-T^;Q)QGBdz=!n-xydCFLaH?+p6R^NL%+n(a~!>Ykro!w+k zu;QYXSNW=!!_{Uq)@uJAQ%i}DSMB#&k>VpRSaVJbtN~$;^?LA8$~Zh{?ZMZGJZ;hm z-eUsXv8gYIYde&jElv0>fr5|R=me^8O2F! z|0f2x33M3VBwtHl@Csy8SU|or@+Et1m-Ze7SH&{Ru)~q{Dhd>t9xhqnoN2?`C|f(+H6lmjT$#F zn6dhEbXoH5^{0)aP3N3*H?;}<#Nv!WF>~FITWbwjh1YsW57sB6y z&%J*pDKuVM3WmD$2@=?$T!stbaP_v~)c0n*I;-+QN2+>50N^c*U_r4eX(QRke#}3G zUq~0@VyW3v;yg0*ae?XiWaaJ;PvQ1ra4xdQf9Z7JB0o64RQH^9tX*f+iLe&D)5>+I z*;)1129XMY#||I}ZvI0Ej96-G9MTyYH%l6D$TzvL`A2#q_#*jX>9!5j>}h?;t~PMppIM*0q3EJJVQ)Hl z)mC=+KqnXp-#fg1p7a%g39#iP<_eF~?p|TgjXdhzt@J^ikvq{;q3GWEu2$hAvlLv~ zc4Xyi0*$KMTdomlp1k?OgMPR1y!dtZ%l)kUMO@q}!fPM!Q=fWd2BIJbVfE4(ho;bv z30ZxAMm|*c;ArdHYpXZe7J4v$BJAo|V}AfUbPVyqtg@!N`f9=JW>}lE62q*BiPCp85c|5gqpjrHk!wuN1nmcZ?W{gka?Ve3ZU&~Hm*NJ}B^)Z`q zgw|;7_A4}T9>J@LhhtxEA3q=0EBGPAJMD=sQWOfWLg8^OR5DY0-yE-73tw zp|+&H1OD*MdQ`c{%x_=WNjr2JJ0>L{p8CSs-PWVomu5R+G&jz%t#g&Wa}S;)t&?$m zd=K*5lUob#5ntN~30rnUNw{q>n`7fXe7~Uu9v{A7bAsb9GCf3YS#TdRZj|#Jk{Flw zy4+S=g-5L;JuscG7Q&4)oML@0q(8RCC|10iW(pxZrqb&gc1Nr*VyYJ*qjUZ9+`@ZI z>q><_M~CpabCIsh`{&}*pW(^eNO;)?gdq;PLdghX8oss;YIi%I)>jHItleK$a(hTZ z=snCKR120P(sOFWPK1X%+Y~#xeNgKW@4iyZIodf0d;=mZOCyZ!N<;Z-FV?!-V-UGp zG$wNzUg_0*^6gRKH{2P*cVhv0(r|n z*}6|_X5-C>Q2k5d1n=1aptVURcl9{Qg88RQ;u!CsAzy{9d*ZRowflfH;qDtRe&5hD zbBJe9$(7>;p5)Qi3h`n3+W(nZL#{{;TZ0g;BzzOe);n6sAc+VSYaOCweBFMWe^@iW zLlA;F-|=hYF$Wm;AH?eqQjx6eQv(gCIYhziw)N_Nh(+#(x+X26=q5D#Hv!kO;5KX5|Ga)E*_vrgS%n@4JF^URh9v;ouG}DEaJ}pP) zRD;et4632xWs8J&vXxUc~&O?_GlrRD|i-rAp*gn!uzp-DS_am5Ad3Iq4`9yY&} z@s_ALNhEPg2C=05a@iZhFhS)=EVRNkcT9Z<^Hq+kAnb2-KNL@LAR5hH(gE6qB_ zu}1zJQ!)d^MUdqUD20;cMdg%k{jKK6I{gD#UWXDYSzd6?xx=5D6#PRHfAon*{_I!s zKn#LK)$}6+EmZIe%j53ke zWYLAFnDYC?vU0NM^0NDZ-u??A-gS4DB@qkytIx;i46C08u|Z`?((j;|&OWa(T@PL# zWG!|d+l{9`UFocYH-yT`v(mGKezrU8%Mgf64dCX<_Jl6m2x;;j5_%U$~zp0 zJxzOgxRw9uR(+;&{CtkUN?|4=ze3Ne$vo3=gldk|A>Z2Ea(tGxkNrL2rWi-boQe&NH#Wqz>qrE$Bk{srcO$4(e(vrrB zOdzh8#SYqMp3E*QW8r9QByvIq+r*)KmHBhwoXW-xyo5U@yacb{uI)$_jmR#uM_)Xi zGd6nWD|LEiNkdM!f0yIm0ABg0y(rY-2$lJf_8*l{{j5r|z|ng&)Zqs<)Ztc9L#KWQ z=BxI9wt|QK)pDG%G&E*6fV=89nJuQbP}g9_t72pOmr{#X#U{IRraQc~_U6Ch(1kvG ztR~Sj?FY7|x2H!mGbUeuSa|yM^#DCB#?NWetLJ)TiScaP1S)&}SP9sW4|{J{65cG* z$1(&FCvH&hv!zS~(G5cQlX-AkdH2U^r%V>p&{IQJ2wlzREtP_7;|-nOThBp81m4xu zOB|tWaPHO8*VGS{@)L7Y=eR};7fxk|H+_^?ev?CBI-MQ9$n-bRWI9bYC0eSv&-AC| zdkZBbmZ2Y_8`b9OG)^ll+ABHU0ONd<5sdd{N`1^jNv-eA?jYg(Qhg(Xamj_3E9ndc zgK?xLL)o&LaSUFjL*<}k#}wJ**teYTP38{KiNR&cIb$eiF+Ff#|tM-;V>Q5&D zuaZ=zXSs|}Vs-*o-MOL+dEH2Mf`FArnpV@aLzIdvpf{JEwk&8bD@C9Dr_e0;MS`s}xbWixB=kvKl9ub&ZW}65esn$bRqx-7jG!U-Fd- z_`3(XJpdxwx$g(~NAH4lu7`_Tg)4sZujIQ85*OOk@!Tp4Jv|FR*Jcrk{Q(%O_@~XbB3fu zI92WP2A*3nA4SKl(mGD_%6cFU?fqz>+A?K)tX1gE2`XYyUx3fIpC)|IsWwDqQq(|J z#lKeeOL>nMm-FKV8{=^{=Fp(x@awuw_i^^4!IKPpyqKKtFUA>;(=bB^l*Ihz@Z9B) zX-rCe{MzG6F2hyXjuT$!GaScbX2eu=@iXaEHX)WQ8JcpcISp8Cmu^?ijA)MHtFfCS zqN!CFTnI4gO)8y)88Ri5w6s^0exB!N;y9cs%*Ue}VO-KF`wD^5ApMwxRF}-i@fRJ0NJ4m-SG^terpf zj&9UP67R};z0F()%BvmHs{|EhJ-qAjUWGsuG~>7F4~Lr-pGwD3>xL*I)QW(Mfm&n- zA1^}gfF<=^#dSke5o+ZtLvrD+Sp<^JibCsc{nAgV`^(fEm1@zrITizVaj(49Tmn^X zfiT>WYrHip)h%rK~X+>J$PwT(jqC31R1qVayYi}>s+t`L!%kUK0nz@uk zS|Q_McXe^>GSI6h%b-Hp+qbKv9r^LC**V~dE3Dm1c%l|+^8&A8T1d<>)p*d(Q6*@i+ZWFsZ!8y< zoN~2YExd!Lxf!lsZhO2b^U8kIs%aKRFYXrEWBH)ySp(5jy_AjrV zyf3T_Lk0ABbJDP4wrR$r@+Mr3Gn^J#w1ekXu34rRloo`DOZR;#@i9Mx4JDI8F6DWZ zEu*E?6)iXTqJ9*DLQy{*=d5SX;GDj#2`@)cd{Y zwjZBg=)4SR{dsBOW_YFdJN#2#(GyrN(Ts*x=!_XZ_T#6^#-;R9&T`oR~i3u)um*bvH6nWABSVyd-Zq+G_|fRsr(|nqxc)0QJ2>)vs!3T zb-q=Be}nukZZBIm@D#Z9f>HN4(tF5dvb-yB%X=tPKfyZRW;3yMlJ${@CQ|%Pk2Jg3 zlZK{V;!e+dQg4o(22&DnMcSu2S2kJx@K+5T(riYrziQ|h$MH#o>}6YdZ2>MD`{+T; zi#u9`s>@6app_>ehyipxzV9>|_Ah0tPi1IRePXi6c8g3|hHnn5^#sq2oWC`!^RyxU#< zF1ZeHm|dXRwljJqts-8r4bUb3twBJYoAuGuN`<%L-8a{Cp0bCSOY22@B&PtZK1?)i zqbUcx$bLti2vP?+iY{D{_m@-blwPRq%y0}XR(G{#8s=V!Ze=Qh`ggPjSS@JA*VNM2 zxOyF7?kH><_${Gj^FasmesjkHD;=rxA?!9%NQM66QnzWj<5GuD3AfR_j4AiST%Chh zkjbB}bSdGu- zZI@*Djh8Nl+Gew@@oUR{1I`I~Hl{j+t z{w>sQg*WwTblbbeV>Ru%(wfkSnT#8rv+X;5AC&@EIuo{flpKW@-cbJEfM#dyjaI_# z?rr*lt85wi7vRduT?G-9nc?|eFll{oNr@|;?^9%c0#62Mt|hPUw}{LBt20ftt;t3H z?NcH$>x>tQM^PVmy5%+3L)5Pu2gOv#Yf5ZQ zhT6aq+ye-4156DXgbBr5eGB?4aOgmI*eCSM|0oWEn{?5e}>+UdNl?lLQcbq-gK7KLOw! zdkIDe8{h_U_?sJe`YQyb6LG6sne#Bio&f-Zz7U0v;dfu-vuog<0fNdn{vDN+F(FIzn=>a$E~y|DOzRU$=Ve)8Q-Bfy;F(zU;nKT`zg8Ut%+!nT=^DA$p!_ zHFw|Kd>0_&C7-lmi~zQ21;<9}8rZ1N?3|z02nv&i=v-u96 zG(3Og=~zXw(wn|Qtw*f6o_hr+S<|P!xBluIaa@7@$lcX0@m~QyWk)GI)_Go=8?R=e z0OL1Q`2Q_y?73x6y;i>`^$EqecDqOR3Cc94Mmo-PM{WOr+1^vpCFnbJR`6eEV8?a? zwQ7>1u1CISe;Wf>FBEwpMfNoS^a``r(49Bmhy=6WxdmC$04cIZ$(_y2h#*peWhHna zDZto)IkH=4r28Z(3fF;Z_t+=xYb*$r0EVFPO{M!ekhfz8=^FYhlN9EJ0Ji%wj`5B( zoS!oZe?-O9M)_}~X`}o^;+v}29y0;OEMW0E#qzAsY7Zp>wxM}(O|G~U^R?j1XXJHz7sP+(lJ)}mDOj1I>t(^Gv`7Nfw%yc@!Aru+7GITe9j1(R$DT59UJO+a*4e|2&wA%2w7TQ*!*1v8DEpxTn6Br|SN@r@-H2 zeaang@0b`&5Vhv?Y2)nrMcX&FEM)yY^`^UUlb}^ z{2z&qzqu0So&Gz_*Am8tai8bc&UKuK^!T$wpX6Ew;r|AVxdV+@wV>T?Jd^G6OA2TB zmV<=y4)hwc?=2r*@Y-@xs3jlS)CmQdA2A<}LEqqW}C`3(M=U?IGk|_hV84bS7=J?jjwb0n~u||?wb5N=iQ@IPloIn_I z#kPj|$=Zxnwd~EZ;<;?CY&;>iXju{0`@Ao1>->@~k0VS$wg z;01YqbGCsM4XO{3+2&N^B%qVQYzL3i(Z|$2D`igo?AB^UZ*-~T=KNVEh2mbq8SIN^ zgL-dr6!}IX+0H!p&y!dF##YP2?hvV6!j-A@BP>Dzf4Bt6edbvO$tUo99opJKd}NJt zTHXdTx%qECnSM=yv7exX{|>bG{yQ^V-N}c1W~XlVY!P(fvDNOzQx2s3zMxh#KD=Q2 z!Av_3$Y$P9x?eBtIy_`oV)931bS2u!45IETFp{*4npN99mo^*jiFDH$)7x?PqCdLP zG2mhADleU;VyYXE4%j_xX#!Lns=2&8n^``YpINl7WzaDnxmbJ}8ktkKuOx|NmD6QT{`J8gkE*N#N@pgn!!g`1m*bjhk;0$u?sGbR56S zU^)(pu<{SkAphl`;8Xls!4+vLVChDJbk$;m*j6xG4kNLA`p3@Kbf_J7gICg2UD2q( zjG1NV(PuB0)9*KeCP1v@anWrRQ#vfmjkmUfxe>v&MNU6=(`p6i%EqKh{)k)!vVU!# zpi%BA9nL5AZ_58x)oJ>lO`zOzqfSLP^$k{`bkoF|n76vCJ6_j7pD%PLqW7c}AkGP}1(oM- zsM*!D>E+q0epC3g-T-GnAV<8KY#_ch^HJl5kxur}>FJ8+O+?ZCEbeEzMG&W5XBh;8 z9765}lXo`y`|N^=KrayGBT`8f5@r9x^~<(Br?%ju4Pb6-^Z>WXjWqK(b1{5)dj;`LJBoDqwf3RYGGo!0ZWjP)e=C9hE&H881= zs=hJ3G$H=j0Z}$+TRq#SwRv;k)%wt;*IItGetR}DHZles_r6}edf2z?6H&)(a-4_{ zC?|8?`oV;(%l~WWKLO$Alo&ayhL?vp|g@ ztA^ZGkU^TmFLA&Womgp)-pH7!Zp!{CREWlN*+6z%wk{*QZS*}mI#LsAn-s__w&huy zVhMwK_7X=6V3dV{SShWmjnZ!~ZiPED7Z!^Lj`3GeK9)iSN_EmPT)#v7uYkXXgqj?q zGCFdYu705j-oA(`hvqN^6HeUS8E{y7vXyhHOy~)^CII?;|%BC*N?$T5(W^?>RzAh!M zPOGQXctoJ@{}qEP7s&d!wqf}^qk>sEU=Shq1yH`YeH-jEkVWZOj;wTSe2nXWko3&% zC2pr2%*+9e9=X9^3RVE)Vb?5+u_{|vy{);GTSStE|6CPQXbADK!xd8V_hHOxm-KGW z{2?qoK}qu~3TL_p#cKT}H^@JQPed;52d%s!E?r>=?lcqc?WiN;Y*YG)yl>Iz;(prB zIG5n%0bT(yOV@j?g_ThrA$2d-+^aOHaemz7eCjD@laxqbjYT%Q|H0Zfc4rne?Z%kc zHYVm|VjC0NnB1{7N$%LTZQHhO+qQM`yzjHlIzQm7bye-|>i)1l^zK!4^{(pNs&)jK z0Iztx^^kLZqpy!onmU-FLgO+Q2A_e5S;p2+e#i)ls~H@59w;1lA^dF& z{9VcaQ#lKz@P#b2A@@1>l@>zboqQ!kxZZiW>a$evG4%d7e@GVjP24^S5OrWxp`76V zlhTWOwm|jzvs)$k&KA-|d9y_Rnfk8wES4-5n|bG45y550;8rEL#dh2VMX;2;ml|oA z%QvHfBkED=9yFVwDGi!n$-m9MLbwd8d0)i;Rb#mq?z_YQn&dmyec(+v3Jd25o{uHqc{v^A1paV zbE=ST7|q8vrOvEJm(vXWNq>>IYYp9<7u*udH=5_{qgsu34yxz#`kxt0?Pn|QCEXRs5;A{iKhh&mh#XCK& zYr5qfdh(7xiY@exKvH zQST9=XWc{Dut2ciZ}g($UBx)rez}Z2gk25Cw9` z`G(ehe|M~6R0>g|klkFTZKG)jKG0_m6i+>WHH;k<(XHdj0~D`1nU^&Y0WTB9kHUM- zb~6UuN3Mk6SF01l#|i^?f(4L;#&_+n+!is^I{PAisYcrb56^^@tECjgg&ks#jU~=f zPl}C*v&P+of!C#JN9jY5Qh&ky1tMo0IGn7csO+9C-=Q8QeW-UexcRHntff__eeG+h zLzhLH+K%MRcwO)<`azSl{h@O$Io>>qgVc)iwV_V%-X0fT;F9nuW%*8WWGzh9m49uPrMpa1%U61do761Kq?&hV)U^Lq2D z&J=xtI%wlSNI=xNx}Vw8S;xr^GkK&Aqh0#3(6@_->9T&-jj*lC6!5VR`@Yn7i^zAr z)Ai@s2JLgr|LgaEnx#QiZvRz319N6La3lHqL`@gOgUVrINvIK zPq_I0aBQ1}_qo~xXrEJ`^1D4Z_wwvclAM5eFX~=kJZlg`zX={A_U|~INsNMTX!t|q zC~ro{`BM6c;+SImL&#;w4M<_pMk+gd!lNi}X2|(c`^Dp)O%?aetvXJx6j0WC@H|$# zaAFP0M^@dBa@`B20`|KHs%LS%KwHz`jVScXPmZs2D_PJtA{N6FZo7;K{Q}-z>?(QY z=^mFt!75?Syzdi6n`8H-*tE^i)u<0?$eN{6)?JAyw3^@ewgd|cbDQ~Vj%_}#bEn=@ za|u3eQE~_I1S8meacv;jHet-$QM5Y(%jBY?_E;Ba#OKub`yT7gy$Esi{=OMsn+MtS z2lu+Qc<;fvR=gYiJa0o%4eTRd2r=tzb-h+YB1l1uIY}O>vq9d|KW`nb8e&wAUqs7! zcph}jt>4r@`aR9h&T4(|{QClJ*6hY2T_+m@IMG5aU?V%fxQE6(AwJ(BQZ0PXC1R5r zm+P5vm@kQ+$7)Y|ox|NT)y>H9NIXQC`#Pbvg=zjZA16UjKpUqvB1EIOG)?l$@4`@I zaFbP3mok(0BjR(w`-j{T@!N2;73pp!h0rB0DXGjm#e~u+<1L-w*1#=$Iy(VR=`Ad# zNBE7)HtcnN=oEM+FttYPD4FKPeURT8|x+oi$94h3n*}dg@wm=#%n3;5~!Ls}yp1~}4 zJ|>P$X!#nyZ!o;w?D0f87n#JYY}0aY?jK5*P05D-Ot?x)u?5HRYS-v5uI;&@!NrDF z+GA!v(y2ynN2*S%^A9}&A1u&MnXFQgP4}=kR^{JyLVFfDSO(9$qu$&4&V_`#rdY0Y zu*SeC2n|<+^h)T~qqtTJsS7gFD_I|NM>>AjI#6tByY)Uw{rvX?6AkU=otcewj1Z~V z)yffV3n$=x!Pg$e8B-L5 zYefEJtDCWu|9a6M++AdGk|=U#7@2S++p*&vyTVNsks=u=$EiOp@s6V`IMyUe(1fxP(^T`Jr=V-8}48dS@TNgwP`dK-L#tBh}oLrHA~)^anvV#(mlY1uhrC2rIxx(o4* z4Q&MWd`ZUH?6NqK{nYdias_NITTEp%r>vEzBW1M)tFvZM#-c%y(=s3fFUPzYYaN>K zerab1mWRhD=;!q{1Yst!k(2n$agzdB!#B)I8kwvi*FaE5ZTu;oe7pQU{-T1y6AK~^ zLza5+`qpquJk?A97#pL&#Qi|31&FqNg|c7QKf{N>5^^HJf9BJoofiE5#&ZsWu4$gC z`8UpfjXC`DU}#3xCZ~Hcw1sWP*9Pr`u7t=FY?ZTe9;*8wv*mqeeuizvS%;$ZJLh|} z)*b3*6l^WBD%>>B`+sm&>U9wY-#f^UdmRP!o(H;R+Rd)4(w2CRQ)E~o?V=Ta5=t%@ zsEA>vU3zK{jTdRIUgfCO_xR zvytY?Bo20Xt&fPbNF_K$NT}x5w9t(?hh!86PrI$dmWt9T4G{&YRz~=v3J*HB)B(^A z_wIWE&G{I8s)=DyhAVro4?wt&O;JDi=YkOweqpza6raBrQ@5aTHm9&bt*Ijq1Le!g zVsbPZklv!MmM~vvd39eD=KYM|H1%WIkatxwHzFf8 zF_uD`JImro2{PaWSNa%NS|qYYbV+Jpy>CzI#Z9iWGIQ2lIVv-ejKTidtMC=CLmB3e zX6ofD>yHI?#{S!|niw~=x~@M232lxTvQWRBXAv4$E>%CXt5g}2Y!5XTJpANpbW`+< z5y{}3#oc*55{%P^YyVt3#;rwpP;6T^`stH*&i?TV1Cq3wC8?_T&%0~$OJa=h1mt|> zUQf$*EsgK>I9tqC}vI~nglVb;`s|0B}aN3rlp8~*m6p^ zel6s)6|fu)t-E$;5*Tu)6qM8_qMDA(t&AJfL4fz*XlfCzDwYYt-q6!%O9s%E*itN1 z|$d`u|R*PGS6=O15!NTh9^QdUwY7ax%b(SIJczVjb>sF6;f`&Vt1Pr}YyPYC}HY6Hm9|UgXrq`Cw1Syg>N$ z4(j9zcbd1MD@={r3t`D@nxN6`NcqF%V?i%0GB@TgAi^e^3JpBCl`FS0vbc=w^z^&g zpeZC5YTuGp^3_`}o)tZ?@w!UbA?Qn}I4jJR(fq>oDEa~ACLxJ*GA+zC-wA8J1<}CB zGr>GD=LvpG;x1maL=0uVBEug@zqiaHo5bR~s#I~^e-iqArZ_@6*xAmPc?VU)s~$fy z5ZPU5S9*owSW6a79B8$-{5#TWZ{FAVe<6X~xA>n?36#D~yK{n}|0-rGB}kJ8=}(#a z?ShLa#BVoOLairKmz8L|y>sk+7UNXrenz0uoLG%5x(wf5rH_QyCrFp)emABMci14M z)BA~!Je|Sgh^ll(K{+oknvQ7X)=6hGsof4E8LZHEO)z2j=*NpT$#;D+hX7z@bXA89 z>)lHDcv_4D>(g4f2ZdxrLA&GxH{)JdM~wvNnkX^v6z-vV-QIjp{Gj9iwbiT z4R6$cwv)$UaYT8)*$?(I6NTihCw`Wy$0j(xFaEhIG)s??rp9A3@#MUZi$iR$F3!Z` zi07=Drp@-juT}gbMhs5;je*UK^Nmr$C|;xYjr6uOT42wnXzzadZ{3f`JLh9so6F{) zR@`yGCcN-^X=ZNEqG99jQz}4%hF%F z1s`o6&|U|MGe=I3OJUz+l7G5E?ko;N?_|n0zFj)25N|}f3*t^W>#Q7%!W4y?+!{)u z=cm!@iXU6A{L~}mn0xO0GIg#P?VdFX+jl@anRu?^E)_u6uFl`WOyl+*CC9!M|KRVS zw2|QMMBDEFmO=WNMk|B1u&Yq{0=4$--d!gKOOfRA-ikJ`4ck`q3<_8jQ#C~Qx55CS zwP|Q7#yC77*Y=h($2mkr%D0#%57#;M;jT7FG|#h(8%=O3d6%#OQ{Yu0Qcuj}t_tz3 z4hTyb(7IxKRUrGeSfSZc_41$Sa=! zeH2p=X_4Y>aFkl#Z5k%1cMy?#R*tfYpV&t^E`YF%yk$;J^~pEiAU+Co54F6j(=VGW z%+(-`U5idR-fd}d_sV3z6x!T+ zOYZvjyA{TILDJpF1uX65h+jt%n*?yurhh;vLtP9jRExKARQ8OlpOA{@)}A0~b{$0+K17q7nSota}%+$S18kt4FWX%zO9exFEQUQFcMpoXT)Y~ z?H_~olSl#(+6a}PdmxMKp1n@iAZRO{kn2CL`SL$5gvaU+4E%%Om%pLrETU`*JX@B?cW}--;uYR>iq+6S$kpy8VhUWi zPX=Gew{A~ckY`eCIu!jjTpOEE;9(apU*ajEe{7M zJeXe(G820GF%rAf`wpbuGaB4?e4mYD3Axstq|Ytk`yF_5e}pu`x_JYq=ZLJIjCr8a zA!MOVd}0K66guWjBrzJ$_h~{=~57u1}&4f!qCd zZUeE8dINgI@SotX8nqlUE3Sy$VG{FJm4UB1!H)a4%~L=)Qg#M{sR{V%$}|cFLN3=6 zgo2cnyh62dJk+Z~4!n0+XpaCBL^_PDuZo-j`D+OY%{0I(%ni;eF?Zr~9v$QVV)0*O zPv&AoIEFHhODzAD!5g0~e=$VYj>jAh5o8yn>4qD=vctsB=D|2i{_jE=Z2C~dV_IGy zcO0KIM-$Nda$%O%9uoVum0A#h?&B`#2SY~Sq6B2N{e|woikNdE%D14A=Y;^WorNnu zi*62`JLO>QgbUUbp45?jgf+`Bx;Q$S~`Cvr68H0Hm!9bMPtmJ_`7JKso zdI;L1_^Ws_G!hGELbfyYYTa;Oo z&RzYvC-y@7_A3|dT~t5`;@MMU*nQh~!rKpWpR*)pa~5P#9SeHG(KR&7M15Do7tQPP zVT|*S*Pp_D9E5GHVJZ=N8c3SiAesWV7h&3QebmT=7TUuEz}3NygSO|8fw;bM!q;Xn zpYR`k*cN|(M~oNVOk*qI|L_vqo_oR?;C_U()JS#rvqWoh4lM~w> zf#Ja+6_ehPT-Z3L$ORbxV}Xzh+#W&3$MGc-w*3ne3EvY#^2`jzAGke){EqEQBz$cI z;|bprRhksm9Y*qx8Gm8o45%~_o)&Pb9z9)dBnF#_*OvDo! zsop#-F)zTrUOp0&CdK2x;D(cSJxb~m%G&|t~sl|v{*&M zkZx>djQh}K2D`*NcgN#p`1NYA@S^UdxucScTK3y;0Wu&iJg)i_%I(NmRs~qfr7B#7 zhhuqklDCp!Thfee4bz8U&`bi)?!Obrw2n;q+1Kxt{EUS5WstF@#?pB7yzUi!1kKcD z$b<|J`V%bSP0oM^ZMq9($v_8gz4-3R-~r@@fU>i%-zE7O5pBz0msLqOTs z*YBLXjEJ^oV8|_F85DBHWJf?*+1Kxs+>H2Ta|UO~D^ng6^1xI>h{^u99zEZ@zzqti z?!)5xw>rqC;E1X(E6}0%>(HsQ|2Pvf*q|!M z8{q1JKZ9ajm?>u{NA0H({IAMqY0%%KT7)&sApU<ZO63LOBhOtNg=ORGJv}Qc92N<+VGSXVuLXaG)_OFz zui0Q5N>xHd%rh#`L!<^)f+`6=i_{P*621l#ghLvoW>iZ!Sn4n_g`$L~_mCr%?ekFy zQ~|1cuVI<$dwk_~Tl_j0v$R5=++2Mn3m16e5Fg>=`ky$>}?5rzLxeOHe&??1G5$j6#1kn4e8|2%Pd z_z^O*00^Y2fr=WLlg=sFzE~RnVjz!__9QXe^$@S*{GN(-{v@)cIUIRI=`srUYKSqq zBbKu**^WS3Q)0zi9J(nidAY{`895EG|AU-G5cO7 z9-A=Tx^jWpa~b8B5fPI75&^ITAI)ZWYL}~l4R^aITS~M5C(6{Kx*35>o@nl}w$^p} zqiT3ZYjI_2+4E4Oq~pr=`iv`<2V3YWW0$SaIj%)&S+G)7M1bf~&N^G9!zx*0N1bX} znA~w?v!DC15NS$^Xo^OCUg6|raLF~&9uY@f9xL?{N@Z)@OKUD}_>e!#Ssw`xxqpy< zvW}IrN`eL>;tn$jU~zaH_a-%3mzA)Pmpp$d!i04a^yFa=`BB3gNr@u5On1Jf4rBz2 z*cC3eiP#EmJx|qG1*;kq)*>ddxLd3#L}NF9oXZ~Kgj51cuoWiuLbSF+q7iF^R0315 z7ADq8u$DgMVGqVFE5O?CKQkm2Q|-PdKh}K?;hbn*rz<+*jhExGlOCY*{Dhtw>2dHq z;SxI+O=_5D${FXn)g||Y49OBS#0tR*R*L3GEvX%iX~qv3E2(u;Fn-7#Jx%x@OM72a zkl}%20mkAduaA|A*#zJVKf1DcG=`(3tdcV{thjx4A?fy|`Y{~K39|7Oxhv`~sdaW` z@9ZpXC%^YST(qaBI6i*VeFg=Vp;Hm=P4>PPOKei!(M!s!yrgzyzozC!O82EiJ!r^p zVg*L>(*iPP9jsP~doI*~m|`BZlnYb@k=%t{t*`UDDj5tG^0FT%Ur381wY1TvbdpZ&nVMHa+D|bsX1`_Bmc; z%IB_vz|2 z18oy18}$+s*uRzwwpQK^Jf?7~Qz6Em(LoRWrU65mea9UVlBoj2N_KVaTAKCVh28~} z67nqD4i=`B~Y&h!mq z!A0bS=ZX3m;3TSB-ZTF=d3ePY9$?w~m8T3egor@dRoY|Ov#%L}!P~-sH7d8OShmzQ zStoVIb-{iL>%v3A=4Wrk@nXtkZN+1az>3Hmq|964SY00EUs|>%j}Yo(1?E-7-@@$u zT@ij{l1<_@mfKsaZ92D#AIUqI8DzqV2Hk@|W(v@d#VXjI-VqH8F! zZ_Hw;P2crX9FITVX#XkVY@h8`Y;Wk6(lw4*W0Q0&g4#Z|eWF=MHo*ngWwgzByjgsc zk%;LsE^63(5A-D;IQyKCPGW*Rw@oggU58F4~i?R>VHXKhJJFqv3)TU6wVH{zzk6>1-O!za%(<10;KAwbkK)E-? zLXsB2zMsTmMi-rF$-J3RQM;f{t~ASzD`O^Q)Uq;h4k%}8OWTNOPBD38QB4(3gB;YH zV|l|qlUX{qDQ6FxFf@vDP3K&GUZ-OX!kIWUbx5z7NZ0?IU$)}2;j=ksPf8n$00*pV zn?1LPA0A5PZTI*V6=+<)`D)Bk|0~fC;px=Z=oz|w_m%tMJURyV2-P}r1}+X|$w_T1 ztsff>NeO)!{RHia`JD1@OpF;kQrg|&^S1BjGul0MAnfyfdVRaoUEkez8w2vSf8TxI zop(FaZR9hwZ{$-1HZ?p1J_V^8%?efr#yGMnptG&=0?g*S?tE9$m)r(_(bU%a}8sPz^P_u_$oH(K5uY85Q0%>cu2k2u?T)xvgoBXH_mdlw77SevKY5Gcle@O@es&4>o%lm z5ZR=vc{Xlu(b2HkzVVvYFw)+)YG}oFRokewHsMY;bvy1}!Mmt-=%G6LI#^!+s0BTq zwN_}A+EwpxpgQ=wAyhFc1N;S`<5KF>~Uc8%`1aWMn0F}k#{9;7N7lCL*{f~BZ?%+qc42aHG(L5%@o0JH;9ki)_1>Fl(*>}u z;jiImZCce^)=SRp7}zwttZ!Ob8^?5S>)2Q~zN*$5SLI$P%34`Gs^>E~#Gm^)Zz3}Z z>p}V0LT{p;wGtV_+IzBtzOT%E#EEtAzKL@XK9X`GV|O{}y5KtIN_#|qL~vBaX~TWR zd3DgfM`xdS_`aaA)9-+ru`s!sj+9Pm;xYNd#P3dHQtIc9<%Gr=d4`QWpB+hJx|Mb0 z8vT)UQ`;J^Nl@C+q;92ErHzY zyg!@T+%w&Etr?$4U6ieDo)n)(*S)zH3DVY=*^H*w_0ns>SEmoRXXKASPqKHRk3vsYV6kqcnm^JU(ec6#)`{GG>$UW~TL%d+ ze6!r4Z|HRD0c%I?7@UjoUCKkA2tI53(J{zh?*h>&C}3+p(q24Z>paqV$bV}$(rGAQ z>oC%#3O?&l*0u^ZYe)A;7Pf1xqvylpIla5JyY<=~JPk~%_Y3`*B^v8fU|W6r-*fx3 z&y8On5sB-j1z?=>D?kw3j7mrHi-_w=V1)I`+Xce2vkCSlgX1u@?~OU0^bNkjh&r-K zYI<>3U2Px8_pWSXR*kUPq+1C~tMQq+Z3oD3K^}s|cc;zRv#`N=UrLb4IJ&sLy>`!U zrKigq_Y<7D`6#rUubpbQk?$&%;>_9VZKP(nP>)tzo_nSyC{Gv+GWXx|Q@tAT>f3x; zD1j_U=HXXmaiZT6g=_%o9cZ`YY@uF}W`Pm%<8oE)>-^(S{Ll`avvWr0TUrYsYpRA^ z_=n}t5hJ*Aw{;OZhQV0Yzc8ZfU(`N=|23=?R#c0rNFOp4$@HCAbzz-;HR&Zq_dtwB zQ|wUEluI08D8=wraNSeX={vUC_X!hJI9~$1&>wm7nI98EO}2QL4V_OI1J)clviy98 z569obZz=M!XLDH3Z66);x=cIdM1NFO5lda~O>XkJ+P9rp)hNH`?fGrHe!a=pegV$m z$#XHst9~#eJ)fOpvc8k~l#X!X3dnfMc=Bmlf4gk57N5rPs2DT`YTnXiDMkh=LLp^P zA59F!DEUYGuFl=0>Czmm_Wp|2vFJ!AhU_Zq_9_!HRv|WklUm)jqT-OG39_D4{Z13R zxc_Zq5DfUoTjWS@I-xwA7*KR{w7afARl{6pDPkHK(?b?-_<3?w#5I}bZKMgS8Pe#k zLBfAoUl6dL-h|Ae&$hJyWUQ#ZXkgtn!q>JC>ziItn6Dnk`<^-LicgQ{H7)aR-!J+76!D zE8&kXAqs1*fJ$T^mC5%N;b)TQguZ?9{V;qd@S-%Pw=-cF z2NZ_^g)3WRibSPHXB%#Qzt}vqIZnV~_P<4t^`$}p;b{%_q_JeXR8?dqB?0ot#MyZF;twD@O z3O;FCJ0}W@JK8ek-I-N0jRzfSUh@q0zP6_0bT^7IPO__E_k#YHO8eOa(<+ySr7?ee zucqY$mkH2I#;m)z(GJD4NcZts-#UPH6Q{b@b*=1yE2s_B(2;N|{jba;s3JGUyA@4* z&Kx@vk})2K-z~igQ^Nz=HpF|=4k;{Ho{&_uzEop)K}{)R^*HBG*dL%UCuj2XoIP9e zt_~fRrawX7BaINLx!5`KL^j-|GhN+Nx}Nr@c+y&8S^4!8Ii_^{RWEG##rO}mj3HTz zEW*qO*j(Pj6H_#na|^!z`5w@uDdsP`_DHf@xR1flPYLr(>gDRp8NV}}Ml%VzJ<7fa z)DhidEo zX`sGYCZlovcGNa341d9SdJ(>esO<0Q7BHP>j^SSCgFPhx_94Z-Gwxp&s~FXS1w8Nl z1w--|ySc{&cXslWo#ji#+D%$ZnR!9{>1fb^r~{@emWhs--A4mU6O`5ISm+Vy=y*f! zb+N%m1M4Zq3ztTKHl&Nfp6W09rbv6SU6!F^bkk|->jzqupHo9=*VS%>Fb$E5s;ycSv`??D#J-ubtukBF{8qw{&mx^R_=hxF|c?+4GeoNBJ< z!c}l5Zr>DXp4Q3B{oH(#mZd8F^GELB6Kd)jBQ*QJH(}@Sm#tJJ%mRn=wp4X_D7&+j zbjeZzHCGX>`;%mog9~^AAN+oPV;-ihw#H$#H@kz|ft}hnO*<~~McwD5_9nY_Wr4Ia z`kTXlW$jp@2jdTkBvw0WlB{vI-&_(UcvJlIu0rW$uZ@lSbe6>m4fe$tZM7#)|1h<3 zSj}VUUmOqFkKKWNuZ;aFl0j>xfT_nDOX<(2NJGt^o5Hdy6t^m@Ma@HLqpz~Ii1s*d zGud5z-)VdqJ+G>^rT~bcM5Rs5#xiWvbKDmm`TbQE26}EU*`ntr_rY|$@CwtG2;Q{2 zdND5@P20qPRt)~EZC2cWr^Q$(wHewyQ;>Z3464`VI+Zy=hmd}))gcoV(}8n9gGorN zlqV+=CEqJU^J_eou8Ia8Ig3uQBU?r$RcWl7cJr83p@WH`HoeiiVa982Ixe}k=qfym zoNf5)PD6U;-ym`|J?sSO2JBa^q_(g(9>%orcCky^NDgJw|{-i_ul90{B^h9!& z(jeMz);Ws^bT00?6O$#3Wp_s7k^0(q*9uay!kPfBz@lffl#@kQD~nCaaQGIURXWzi zQw~+t_lsX&6#@U+d=<(iGD}_>5?mWlA2To#32Qg^Gu@duB3)T6Q~@au@$ZLrC=16s@)^Z1#mXkIQWVUJN36p;}@}aC!{`I3QH>m{HDI>Z>Fm4@2o_oSZiICIx{43 z%x=F}e?fmZl6PJ75>j+nOC*bG%NUQJPE5aUnbO(>fG$qfI8Q@&@!&| zNaI!dz2n5Dx#DoqQXApX^-*s*c4l&4uUD@&y7?INXzp6@5h4|f=Bg6Tu8g)I!k{#v z4EI7=6?#LYi?K(rAm|i*RR*6xl2k^=CQvEwH;(%vk(8t2rB^~Tw~{j2&D=SO0JIpb+Zmu-{&NAU=X3XTaFv5f+9j(&p9<|Y%I_(vg=Y4Kf&hj9? z`dEwhK(8YI3#1$97T;x0w7l)%er_0n%vA}-Ba*Yb))_fhc49Dl9&Emxbw&5S@;SSS z{{wsdhYDp={k=h?OtBbabvku+y3~1|kisc@GTSl7+H}fw9_!&T@6&V&j}Yfon-!`5i~2`Qx-zH4bY$Wlx_;-ktt*;@cS`X`)b;P? zFWLPJ$Sf!vCOrCPXugEQ?xyUPYx)!2XY^MzATD{IN3$D0kk$>PlZ_W9OUkP-$kvIF zT!LF78Zurms@r5X?>gg{@w((5`yrf_fIU8|y$Bf1U> zdztitUp+zaDs+fou}3v_`09j|5_5Dr*$he-9CDZNMchC`u}5e# zWWyGXwRne1Fix>uVKW-rCdH-DriLNrEguEu4kr(NW?|wk&W(!{+EnNfmCAnbQAxr- z!FGv?0mB-I_zgq$2Q2q~-Baqv5JnV!a>N;soA28dp+fq$`+RnTIfVQM6ztINuLhp7 ziO_}wz69SvUZZw7>@$#m7B_KF%PQ*K#65?+24@XS&C9)$dV+qa-OwHv^;1vt?kMnw zlg7G9N^3g$z{eQ`2aVbGll_I*b^1%t&pap(%{nOGierR4gzd*Mi2ZFLj${r*oxm0r zW*X#?(E-8%<>dWsa;_vp?>{D<=r}u%fMFw7TDx!1Y>yrL?vOWMHyu)cfK@M>dB3*a zI#uR-n^v0w6+MXF7L~ZSp!66To~$0Mz>V8%uy)%#+)wbg__kcgfk7Ta)c9mLF+xcp z*&J<=I!U-I59d_^ zc)W{3F7H@ogd4N2B5Qck6B)4E)0=AzX%$IPxQ-H|p_ZtYNC=q8i*)ny2s}09x8x7E z$6SV!KO}q9E2;Tt{(v}!y9h8HiF@2C?)-@VKsVR>%OCN}tLZB;Hme~^bYyB}YUecU zG3@VJ*agM#1JWDC{%g?(%c!>b7u<nu^k(%I zh;d2>ym6;lR|x86?UoR%&Ds^|mHVUne81Wjhn+AUIQO0w|>vWe@ zZab{D^AFPn&^OxklcmR|;)7@p?0B+A=JgGvN7#KXvoHUmk2;SZ2kxV}l{7L7ISwj1 z3*NY8fi$6$N;5QpZpG>cd6tf#X{l5NyTvKDw0~+wRj>_|1t6((dzA8}FiBQ#5#O9_srZHnV&Y>HX$)9Cy& zRIs!!N?R3ESd$%-RPczXKNO%Z$UO*;d@O)y6XZkX z`1hDIN#W%NZl4C2W6vU~VUc{mu%RAkmy3}cP?-NRE|y-vmd{oonZP*xv5#9dNamT4 z8vHA6o}6pQR4sTb*IMvZYoQ{0T$wfIFzS?H+N7+7YDd?Je>A8wfZIcY*Wc}^L_B)% zoIBB6l|&d0tF#DNFQ4hP_-KJY`iY_e-S|_wLn`Hz?ExxtkmZ3W-&MRLOmkD|N-0sZ zQZ<>4Ye7n$Po5|z;JXN0e6?nU*ejbk!Yr_yZ*W0w{%3ZTibY9R0egc;rCkenDqu}tAVy{tFp7E{`uiz!N|BI3(UAAPOP{jqJm`ai9kTW zFYN$biPhtP_`ml;=H`o%!pEGkxXBB%68{lS6j=}Rzl$>91vzuky%|(LQ%^aW5yhB) zF5Zwt*yEd@_H){0L#KnhjE9;_WF3=}c+}28n5A+}P;LKm(af$-l$DO1X44{*aZ-$F zikO2snM+5MNV&{cs-2rTfsrbVlVq0tS%gL*h&CbgIw@-sKRs~bTc$%0Zaw`chm&(7 z<8pXm>;&=^QkE$H{0Lsi%r7HHf^{87)+s$KJ#4y{c~ZUp-QI*ps&n;)K$Cl=1(CXl zV=2zr+SXdgOeuq3-q#_G72!eF z;~kI0g|vH`mBH`#8GVPW$ugA^rAg>aC^iC@4oUT*aHKH*iFL#{{E&QyeHu_Ha}iz{ zj@-)PSF%W-9XP4-W*nxw7JP~ht50LWT1o9W8V$2q2%w(bnxkDW=e_%Jv zdM$Vr{Q7A2#J4k@g~?i&BKZ^PNobZWrxZ9-L_K#rH$U?&E5~!$DfY-e|V-o^k`dVcfCX{lx^G#RN2Ue%Zet&CDAJ6C7b| zd%qUL*J=RiJ*jrki{actjGoBx{Cy_hPZ-TZo@YAKA#Ab}gJ-(MSXK?`%RxcsR3O?$b;*rB?_^{|Hh&FR>zew_~lzL?D1BjhQc!E_16UXvL^O(CmeqUp4{Y<9+g z$T!AsuOmQL_}$5LR%1Fp3Dme9)}xi+Yz}9-Xe-Cj4XYD;`ctZ(-}?%L{!O_4n(P^I zx?!UAv}}hp+pY@q!{~Sg=bjn}Rev!hpdp8sJz?WN<$u_LbL9jF#5|Zq?B@@{%!H%| zvR7UPO!+f(B0YUlTtJUM3A#l6-Qbn_g{}}R zY$R@a|3J04M5dt^W$3{h`|zN?xMa1sgmHETuC7Pa|N4r&xWsmLb~C+%r<5##Ia1sd zA|cqW;seDfiZ=sA(S%{vjdUU6-anT!x8x_g6>}O2ALE0|Dr(Z-CNLI-4j5{~wj*@> zpqdfB&wkwn+VLH)km9*{$<2Ikqq#)89|Iu$&>?Urh-iH%y5wTS9}>hq-w0Efq+mnHY6hAMEnpoEc^Hi+tN(-44Olm%b8hNdbSl7k&Nh!H{N` z9h{R&4AIXU{}QA!nX+&PRt7p021Inc-=P^zoiwvXgxn~^&9E^!;(24&W_lwF3?N}N z&J@VpCmN13$OLE(XYM=rZm|Hchmv<#2H}%f0oY^72KYvS%;7i;fN@(`qd`2w8HIJp2@*%Rc~hV zg=f5ik#&Hz|DBG3bG*l;c-4g#lL8a-{oL0=%%8`UFk>rtTLfWr0?~cNzL)b(5(P%6)NM4Ae}SD{3zAp+89v&|N>g{?odNQ(sb7Z}J~4Yv{fKV*1r;L73T0us zWw_;NW%zr)%i<=f4~zx* zI}5>4RAT-^XN5R!GF+xsIaJE$b3>{jmmyq^#anJw2uluJYQ!*pHd#T+WkJCyUpHLE zja797O!XxEUdLOVi`h`pus?P(xPTRT%vC^X!$)fp+VCWz%tM*zc*Vm{xERjx(?!rf%K_o@kM=KV)sor=!cCxvEbuZCzkp76(dPF{{Y%FFeJ83gq7j+@w zR7xH`@MIkO5bS~bfjd9FoO187QekO3vQkAU`q0gZ_x=rU9%0x$uN5UeZD9fiVRhth z{ao0LENNcGpm}0yz$5l}+(2?sg_{7cce5a$SvYW&^>zg0)-|51xU0A;JAKI8ch<)@ z6U-yV4Jy719MTEwhh{h?11RPx7~Q?xw4N#>*@5DAuFQ6>+H~WW!WW$5D-Dw(`=G>z zPt0a-od=%82~bRGB|P4ZMG<#Oq6CYqU^6_P1)JK*B!50GW)?C${{H}|Kv%yKft1#v z%?2YSs`Y9;(rf@m%3zGtn=w+h+N!pqB|Fp(Al{v7Cs5EXwTtSheQF=#2h;(C1|tn% zj1;L3s)ImBhtzkV8+2r=6zd2^Byf4C19QCk)uhpr#l` zO{xfLl0Z#S(EFo-onja})dzNp1;qyh6$1pNP>w-RF^=DXF2Oh|vH(XVQe7trXe!ca zMbK2F)7R;X5)7t_1g08-_FM>L73mCfh9Pe7RV3r97-xh7EyB6jxftyk z<%~vrj57xD%Yd~a8EeG=Yu$vnL0PekvTR0Kic!`8Mp=UxWes7Jr5R<#JNG;HBbUKi zHe;iezLJ?>z22j`$PK6V%vw(s_!q%(~kM4VubgG?nS>a(0m)gL4=~ z#5Ig-h;T&QNKiJ#eM6VV9rV|yaQ{^QREqFV_fJQ-%D;+I{Ga$gp%(si{&k42_phg6 z{tf;O)WpBTzk{0j5Bd+`>T&-G(0}#+3X13VsD*(bl%l1U)J(@}AGOwTI*x|xfDQnO zrDz}z-Cnmx*g>b`YKHCvoYqyJi!|Nzd7$*vJrNGoLn&F0&?Bh1Sx1{%>d|^M4b_+G zOF_R%UqwyzRDBDs&eVSd{ce3XD0B22Q0D5nNdG7OCr}J}%+e16H)iRFHBbi75y^oIynYmCT1kDpR^{h9s@ z$aACKNPYAUy#w?E`T)XX`WVVQp-)h@KB-SqPyLhriFz}V?7>K~v3r4g0cA06?8dmU zJ0r&Kj2Ig;V$5R1nC4#UUW)h_AjS+vjG2rWySP`lS0H|sdlh9fZXD0Jv9~+Xok)r9 zBzH1$O>w7Cy8CIk1&l1hxmZBm6RuN38>22fjvlEO4Bf2Tle~Qgf1Su35i3 zVRG`dKrOZL@2#3SKZX8LQJru7rL8f8mOMr5d8T{w;BU@fA-lk3QUmX(x6_+*`t|ur z)p59#%~7hig}*=VEvWe-w!zO19Vg2F5`N|#YF44&>bTTuTCk;7D_6~3@^4df#Vheu zvW(OIFUmVuurhMUs?|GCv^Q4I!qfE6)1)ZiC{s88R>~f=_MLb0S*fLz6`40He-*T9 zuY$3)^ivDIy8?UdoT4kJh1SJO_WFm;=TvR2CFGcd9Mety{4(-O;&5sHzgUp2h?SQ& zwyO11-&NUSP(f-R3t0^XEr9ba9%X*dtiV-WGNcyRM9I+MuW}hhT&1n)QXzNec**>h zwwg0;$zCs&{N7gc=E{^6sl{aokB@xMA&b7sI=^|&`6DwG5kxTWahuX5ta6+m7&LxM+_m|P1D$&D&>tPmc>Dl2o z^qc88^8dN!2gfY+3ZI|9#Bc4^eW>W0<$iSC4ey(18v9il<>!ISmwc;k7J_+gzN)CS+eYSGFxO5+^ynMz}u5zw&+f})7 zf!}Dc63Wu)ORgwc(T+8h`p1ZQuZ2%a*7BP!uht6qy0}EH+PXz7(N|Vi=0cJfjxztV zsFE&G-v5OwU2AZ}kSwz+SedRGWmi&;l_$wg_y6r`J?G@$xPF?IBFaK~HNt-UoR?Dm zbFS4<`E<`Yf2BxB=`vG#yUTtSHSW(ry;c@zLzT-{v;JJ^y})YQ;q4k-&#bRiq1GB2 z{mh%-u`jerM{xW)U-%L$$3m&)tZRWK8j3f+6A(K3P|Rr+7M2J?CiynGb4H6L>^ zBA(;jRGz+sv}OK-=~QLr#frV1D$1zxWM|FLRD+e)2mnC!qCj#*{(MvyF&F!x{mE}NH&s`W z%1Pv!{jVvW?ROI7jq*lHlvCwYN|LwATd9$}T~4RQ@=iIMn#p-`KBdS7asjnAdtp;5 z+xWDRi{vxZRz54AqxNP;Y)UsfVpE2ES-wo?$XDg7lqHwRx2UuHkNgjvE0@dV)J=XM zKcMsEM{+fFH~V5!He3DlH2Y#xFS$u>rVC`Q+)91S?%34N?2b(XGx)*W4h7obWAsyosMa$b0V$*{UI(c zE|DIH>mBzydL-_qxclghxCL>GX?@(AaVzMnxJ`aR-~}0?nf;E%JhR`i zn6K;VXz_^I=~z6e<8-3ep#3MrR08Dm-}TT_sa(8mmSeZjs2LEndqZo=%byHri<)~tLMsdakU$IZCmcO z8Qg1Axz~1LOS4RQ3HokZ?zcT?H+ER$`|1lyhoISKvP;(lC*`?27DT!;H{eeTC? z(2sAYdh!l=N61P|$m!_W7JBxbNO_l>g*3C#%fr#j=Ya?2qp!zsUytR!ZgXGvvpriB z+q1dy8T9-1Y}M9IK8M~PkKX?RLSy0PfCrW!{0BI}&zum$oDd66SccNx1V1>;5AB&B z8ZkdKX8X4$X193iC|83wS~G7nW!`AcywM!Iv58u+U0e#=#ih%w;FFf%lN|^@2e%}H zTXrJc1)gcnJkyx1=9Vn_CK)4fJ*MPY$mANjJxvma#T^(@Uw+Ih`_u4S;N#?yU@ZKP*8#g#^Fh#}H@;7)am&Gzo!E9FGwvWbQy9)9%j`!^b^Lw({iwd#m7Lo6FZNGn z%Zh0v4X;uJc=a8M_rL3ZmmI^f6a$W3LAHOTe^#6#svEpdwKj}Y7@kTCCEaXBMCF^h*;#bTG!VNsi}_AQPfgL>u6ks?4TBG>5;De+6CR%do?7@Lq3NSrK`+*x-JoOU3b&ns1+np9}4Kc zx-Ti+PxqsSy1(vEX6N;R)Ikr@gD6Q4)NGtK6^utzL{+4dk$Orc4AB4EVlH`U`yY2Z0Xy9Eq&XwrEfZ0`nF?B-wtf) z+nz0b)7jFu4O{xQWlP^SZ0XyUEq&XtrEgod;;mOqMjPo|r-*c}!*aPU%jNKpTsC&S zby*5Gli4yGvcSmVG}%Y?fz&V(xd}_;E^@dWPF-0pcVxNTOpcbLk={t>G&x3&LHf(& zSjZhCshh}gay-%-dEGH2uP4X}khw*r_GCFZB)cQzwemW|gA)9Dc?04`jz`FwAjd71 z<4swDhZU3HGvyycay)|NcvF_+Ve%3A2s9mIiyY0e+sCro$Ff_o>~6`jyM@e=IT*2w z)NaUj$qm^q*~fOt(QKEjSW>r?Dty$_+Wcurv19WTFy z)DEzuPLkit?-4%AQ?2fhSKz7Hlg>)=SaGNE# z!xFqXOYj&g+KQ(7Rt)5L49oEbmJK-`W5q#^$3Tv||Bt=%af_q67ydcJLJ$$j{u~Hm z8ZjawrD<-YX^IgsA|ldADL18<(lm{TG*Va=jJwP3?Ci|!?Cj2u-JRX0X`0K$luJ@> zq?E>pDHkcym|_|!rMVO_MsA9E(>&ZXFHPzDow3i;_j&(<_kEvwp4rUKo}b@y&i8!I z*Y6qNQ}d-x*L|tiC*>yH3r{r7o~ip)XC&PZ`aM(E?-t$1`U|>`^&OV4S-ysn^bdx56OPb5 z;Zm*Nuzmw2Tbr$*uXT-|XXULjx>wixMb>|_{u|n89kBicea1R$9ftd#T2I5hwjONK z*MsfWx2+e^7xh))^SVc!wkkaOw^boUUlo${RpCBZ6~2oMy1yNv``c-2g9_`!4{bk$ zPi;N0>K=Gz-2>04Yk#w@{Wt3#cz4+@!WwX=uKlgLH{RX0-`joQ3$%O|X!%UI*F5=h zbS;06uH_#DEpLN+5_G*y*Y5>nE}084?vPFR$VVV%3F!K4UCTeH`vT^WkCBf-Ze?T{JlFgJbI5YC9Ln8A?t{3iKLxYxW4Gstg|`{DlEur{QTEo2MaYb!)P*+zaB?lm98_4*27(N~Bx zSRr18=h~`pzwVWoLDD1*Pc+}e^}27ON%u|6(0%fZx=)@__sPrAee!a2pS<<2P!DdPI(>7sKLN@v2lQO-hxrLEb4Imm6h4?h}tIDGI6NNli+z z7!`-a35X?0XQV3-s|aF@$m8O1F&tH*1JUv5rC3U=Ahs=58*{{>v175~*nI4&nyPMr zt4?iIyH#2}qV}qlvFot{NYe*t79q|4m@^iR4aFv6r&Y7M@za>GtI;L3QXP(zL`oy& zk;+I-q%P7BIUpM%t&#SKJCYrtMNX-Or@U6aI;URI%db^$K>5eiTUzOHgPIEWTVf>S znH{&PmGPYTCNZq+k8F&TidQ3Nq>Yh_%0y&KWG!-0Hbgf;>(53ip~ZR8-O>8!zG!pw zVAL*hQBRbK3W;bG+8wzi*GIOkXps-k}B_pSWQy9tcZu@Q%bRtE?$<(CAU%=9f^*{ zZbxULQ_-d9wdhLBsAk7VkZoD4BF4q2*vZ&sy=OP8rRo8-UzcvTs;Uz7V?iu0R-g{X zP;6EuVms8-=xVG@Esy2KZmWgxYf3Z`osOMV-LdNEDV2{Njitv9t2Js z`%a4I#G6v8v{BkD6@p}H6iPfU(MnWWkj_iDl(WhOrCRpLjJ!`iC?AsQVBQ{*rP@K{hX;3+)99M>v)5?T$ zPHvVr$vJYqyftD5ZD5cJmCMRi<+^eco-YC9q)J;N*-8bB;LXZyWj0a>Gv=CfQMv)C znq|A3kf)R~sX;oR9G3d!T@VLJb-D)Hsc9e3JbAj-ISymj46Vx$FGIUZqnl#KKyP8w z7h&h$tDhZ3$Oi%IZ&e}mQ=>^JgbpJE>O-%AmOBB#j7A_>^i!8s{fwmzy$^vz^ANK1 zx0qz3k0ES8e}IsKK7nu#`V)j){lw$FI0fH}HsS|y0osm>aWUGVpDp|n{v!S&D%H;o zKB}J*+=-vX&!RH?WxN|bj-SWRqbKxtgzUlx@B#EBZow_60^6}2J%v4(LY4RgK7pRm zS+|cm^46YrOScq`CuqLO$T)dKg{qB;TsYSfAMc_7$V&|ZD7?f?$_CF+8m z`3!Oc(Jdm5xD2!w0b2VQMfH8PsuNm*_;2DS`W|sB38C);yxUM;QdUw9I+JuSu+!P3 z&m?^Y{T$e7Ga5_EPkIQwot&JUg5F6^O-@C>OioKqL+6sylhe_=$?KC%=zOv@*@oUr zxt4Mb{rb-IJJZocZo^GoJ7v|?^IccN8ux49dwnO`@*j{a(X&wK$R^ZVw%U_v{8f*;b(pWs66 zYzcl?J5Pd(w38(Gv)UOFTx`j*WZ}%TMt0mJcl-<8J{^ zUc=46kblAltv|5-0C&R1h`Q4L$@KP40v>h)NfrakFGVF36 zz;W2+K8O?K!{o#Gd%!%O!-utFMZQG7gnvoC zOumfIksV|QeplOb;`6ZERPnFK?~&ibSIHlcz4#AgAK8chNFFCo;2Y#1If!qOuaiUg zf0D!G&+wngH_12gU&*(~F#?g}|-xms?6i<1xYY4XWHw>%-AlP}2gkmd%Y*#v2hN>kDd#75GJTr15m%knY#q+9^M zWy-g;ycM&OE$x)&B}FNLycfk~EoUwY`5NUmsh&?$YLo`~oYJHmPzYtCvL#p$m{jVN zZb-cb>3fwk(oSVe+O5nfS0MjfN%>paG(Ig-6={^uNtuyD5h0>P5|N{kzQ`%1KQgKe zM~Whwm}RX!%335XvNd9l?2>CE^-x~9aztuYBt?ZfAC&jVLwp*)#4pKZl0jUPZ%S4v zQ`*FDm-3}u@?NP5B;%17X<8bW7UevUORcmb*V_& zDjTIsamMi2Iki|~%3Y4%aAD09u@3goKl3bK2IV@j*)}_el zvP0&igtP>4tx}(~T_)un(spS?N>gaXt?){zQmLdWo0V22Ln&0+m4(PaBu5!k`jttL z)PizDSypaA4&(CKh*e69w~w@ORWp~!Zr3S@7Dl>wU`G(D{UKa3|~ZMX;S9zgk^^@||n zpkfI3pf5nkMUO(b7geCA&_?tOgiYvKRDpTL=q*E?VF}^uC3( zkZ1<>&GYCtmJck8=y&=K_&=?mvwjZE>wDkd>-*6KTgVndAL#qhMcZ4p-=Ys~zq2i% zHQR@_53#}ak?kX#Z2K?Uf8i9{C$>-UI?bYpQ*Ad%0^hNrYC{#af+pBSRO_0+s`-#m zbJ~S%j8nY8kiZ1D=^F8ZzeqSM%uA(ojX(lZ(7=Z@TM{}V4a06_5;*80tx9u@lcr(Q zbPl*UTR1C~&^7EN1FR%Tbe$fvfjK7)N>{YJgERC2h&Sgil4yuqO1En{18LI?CH0DB z(lDJ7Zj>$p0ToHhz}^Nq4af%e50H9Zyg)a|Rgk_=B7kOqyaZCqNlVL+!0}T+Sr=JC zUXrgtSdmxhR<;)is6^f+56~)Ir?m&DO^{DXQ8-<=5+)%|w?GRjbH%k5s&Y?-njyxi(5SmF zw416Bv*}E6i&!JJirq|!*e{+D$HepEvUrOwqQ~hX>Nqf3k*{Cu20AH{tkeXgG1Hmw zStA~S_`?vp!RMxnB*Wig-l8fbD?J)a2}dauGK3F@F1bo62CY=-pj0F-`_2fhuC*{1%yTV-CxpT9 ze#kw{54f7#Q$2_(6KGE_v^YJq7{2XJgj3uGk#LoYsbWSr>S_=43+KHfRJz;hS#ys- z$s3{UL-dSTBPsqNdKa+Ql(@{4d|ED@2_?Gabg2lKDpzAPd52sk8>y>u1yce%wjixY zn>2a(ZU6_b0{az##HzxlLo4nnkK`WhIUSDj&EbN)w}Zj9P#;wcy>(HT6b6MO?op7J5x%QE$3r7QSgvrpVXG_mXVNFQ&?-4fwH5Q7Sp?xJ{saWS*6SJXjX^|HPp|+Fa z4XtPW6Tp=@Vv{c2B09~tEY?vI@cn?aU98lJ8hTVL7kjC_{vpUq`?ZFufU$BBZkFO7?;zZTlLq>T!w zl@<0CDRQ1%K&MI9q-$b7^!_wGDynj>uSqofPm4`3iad0cF5Pip`=dbTGtwnGAtUII zE1of`jH?iME=A~t-X8Ymhw=mcFe@ryL>KpjL)%03Zfm$AoEK7hazlqgo{$i_B%Jr$ z3QdJm!j3Q*&K1VoeXccVVS%eDR0N}7CNv#N3#EB7_))>#GvQHT#8(QcaE2WTZ|j+G z^@n$a%lKwb6O|s?7fcb{VW)cvMp<=ukEb`hH?#<0DcllnV;aH~%puM**Mq{f{8Bg^ zx)$0QO!2sd!4MNV8X6b+g#|7JdNYUL7v2wf&1o8lD$}%2umYqCS_kH%3Vl8(^n13r z`-Jm7NNNTm_!1t(g9zavJcKYF!6S&kXYd)6gny2Ijtuy1{5DF)@8EY(3Vs*Ai`L=y z@Ovl~zmMNXci`XR-=Z|UfEUo61WnK=onQzC-IY|7RD?1NuNhuLcN>0W_%X^f3>k)y z&2Z5$he*RE!zFaDVZpG7HX5!Nme75MPYj=+&4v}&>^@-ZFm|A=#!h1=`X^(Tu?uZ8 zzG8d@Jz{*-_$n$fx{NOL1!Iq~2W>Zcjb8LcqtEC=J4}z79z|a=J!g6jm72a{`U-l~ zRBx(BJ575`d(dO122%qnGc}qT(c`AQroE`#)MRQxPnhD(cHj7vE8aZEP>aSdD}o!u#M zRIZ{;8S}R;omEK zMpsLx%J%cwfoR~m*G)^V+`#F;xxh`U*3^#Pj?kK1bWa>CF~LQQkjB7aN36i z6tudoJA@#^7qYx?SU6^C2{?rvjur2b?(EKN zmhZ^-aMX5Rg|pdyxJMDTxwp8Ay((M7Ssgi^bIujY!!|gZJ+oc6VGOIC=e53Nmp!wb zfi87b_(-j1xqL^0u7kesX5HRGwuwCe%XI~Nt9vsmY2Tbv>=`c8af(Z0%RT4V^F4>y zBh)IliLLA`q*b<;onz-Z3)y~llC5Khx$O{k`8Z!Ke}g*|EaE&cewTuo+$epcV>&2w zD7?GtoV$)YU6;M>e7onI)-R5nKyhG)kSlD{W}#pVP{Bkn(b1<}&U~2DSG#W7 z&xOjJ`vU0!5?Vj)%MERTxn~UI1}eH*T*ZNK;28AM;Xtj=7`Wgn2+TX09nGD$_*6(U z3#0ukx3g=WH?!T;3QzDG`OWtIfuX=e;4<6Lb(=lIE(CYED(GQhKVQO^u%*;u7s;!< zO7+oO_$@*;w+dRQk#Bb_2~l4GUnC;_C=Pr=H>TL>*b?pf?gqo=75bdd@6uy$Lq3j(;yNVrEou$rv zo~OoxNBKd{PG`IJbA9}RFE=<9?BgzV868K1yI^Kb1Q&xsW9%H^3#lHC~Ay4i4BUzRuO+@bLYv0+^%w`7_)K zwHh2}7aS|WQ~WJE;@5&{^a1;j9cFlNCU~iHjCKd>gN@t>)fij~u24c(il$wIs{-Qt zJr}6V;682_mFe8aU*wmAn_ylI(>Le^UgD2%Gt_ENW-!NB9c<>9V7|{N9Cl7?7Cplo zhBL6r{04|oJHL_v+;<1chmfUP^s;qZ-Ujp(gdE+LcaLt%%hhdp8+BXWXLKvxCe(uh z=spxg5mba?z@U!+fxd>mgifL#qf#^qVJ8siBzjC|(8u+^hEa}wg{ILHI*&f7^Js<6 zqfhB?+O5O}oPnxvCeY_saRJchw{`A3pcCf{_-P={W}P@+)QPi2C(c%#IKQK_=0WTQ z%6u6Q0A+qxw<>n%Rz;E60hB2NWj+l=_zY2ljuE?oDf@J$JVAsA3B64yL=3&7+Z^B3 zZH^!6Hph>3YvU4emY6_)ASQu3Zvb~LqMI6V;&hET@m<7K;wruyxbu%VlUO1Cj7`K} zk`Uei#CaFKH|g#q8-7URPP|p)PF$i9C;mdxgGmqJ9ZAzk)A-S3oSckzCa+6Q#ZM&P znY%@%q zw+k?98Z*6vIn%qQ^H|bsomes3%vm^M-eAtbsyWwuKTZJA7UE;(B6Bf*&Ai3D75AIB znYZH;8qwlY8qwm{wKrGdA&qG9Pt1GGd-1ULu1Wk;?fsH?#Qd_k4ZorNWg`5hxy$Ut zZ<*a@H-6hpnJGMB7R@65g~qse(mZW`55J?mff4`GJY)U{zia;3yo~?Te9gRqKQ!O8 z5csOjyMNN&7LRWM>1N?oopJx7y$K$#0o`uLf3=ia9w*jmbW7X?bSo1XmWU-vthcC^ z!-UCl#PTD8)ZXGp>zl(Kc_JC!V)0*cOPrnnjrShGr2anly_r@lDMl zOzgAWvi+I(mhCSjCSCx-P9a(~uR@|t^BO0ekDq=o3xJPCK7NEs z)|3BC#5M0e;(O%R$>)i~nqMFB1C7y%qZ*?VKhzkVIHoZ=@h_yC^b)Z#L#BHF>%25^CjrO3u=m2U(gm>OGfRenkFgy$4+jO%VKBuT+ zcsBlOAC(K=&QL}0Z5SA#2oW#Tc~7FG7izr6y_ey)qf|QlmhjGaSK*uFU4U=AcM|^n zyN1U4`=}AZhH1lsUb|fF?SL<2{O=c0-%WY1c5S5!sRLeT2M;wG?r42!hPv3jjVkr! zcN(cudo#qD*J5?8cJMD9?cgal#JED;=-$?qM(?1j>HV~Wj?zQ)X?m8v?kD`IezSjz zztrF0cl%X;ufN|v>_0=7`7iqC{0shNNK*l6oRDUozE0nU*c<)X5WDr$nEq1Q>6aLT zFX3r^;e7iJ&q~j5XR!}T_Vu-Mu2c4@?pog|-}X+o#UYy8Ax}3`@1J8DnSI@quj=V0e;dRqtIW$nEE(u{9~PVp&}MCU=td!cL(!_ZMippP?s%qeEt zzeTHsUhaPXFk8&-@XxW;{#3RW!X9?7e~oQn+gOLcpEc6Q{Qa7|m__CqOZrRM0)GR$ z%{TQ@V+Ze=Y98^8`v#g7->7dCYGbB&YR%u|AEPcpd&>Ql{_?I>?@dajDyhMj>{NeO zJ~ihnvNyX%n-kPVil7QR_|C)jX6Rj-TBgo;ufH?{eYvA+>x(2c+)_@JQsvZSH$^Sj zn|+&_r>MhlV=RZFCFt4+Ske>_0#sgT9@h&(SwE>H16bo^AKje#w7Bm+r9t zynoVv1$y8(ecXSG4*LuJb@W;24UWF*=iyn6zZ-syg3R_qZx7QK=vr+=K(7)0BQQc1 zm^3Dnu`)Rzy+QwG2yTBb+-E?lHYoiv)Gw9Fph{mPo$XY&*GWkotv)+-@zrfUt1pu( zb&a-j&59N}DJXY8q=E~2VTh?>4l+9#J9CKH-M)j_#B61neM`Pf80(wu*StHZY^tuy z;JM_wM5oh6YVgxB03nsm_3rJO@=g1uz0Njsvq2vvtKNAk)ip{4HwD17M@^y(JM?6^hMc~&Sl)#|wA{9o*Se^eXmncmDGQ%;Y3 zrmoV^cBriLF7T7AV0t)391RAT5^zMYiwIA9PQt^=VrVoxCN}%~K%dZELRYYJ+uH#8 zNEdAF>IoDu`p&TE>AKxD5KI@>fR9CoeabTXD7@6R5nd0!koUux^265sFdm9w?{_7I z^R*c$v;}7$;DOq#6HEfO8yilPGFw-+1`>(n~nA_qbW%DCt z^CM;R|4U^vYW_D;Aa+?oK8qj@^RL?;aq3xdop?*V(XLoL#xd-C-7={3`i7iI>?38A zA{{x(BEHz#+IH;Xyd$1^f^Lt;GnCYTS;^xT6^rMUA}L{K5-~u=Q>6cnvfFm7V#)-! z=zU3UIity4V%$;Us|y}cv#qz)J8G0amKtom@5~BWR3E-ZX3eJrKI<45+cHg2$*Uw8^vX>T}ru z{uriTlM|E@UIEvPB|V-=a>}0=e&fAQ2VA&!gnrPTOh0U#BR9hCFo@DlyV~bp56_2} zs8|Qq)*IgA99;=6T-gY3I_-^fu62A->mO%2y#V?r!}*#bTLyhYbg9-mPJ$v`RgN4N z*>+4$v}F*qC)1nmB*#!-+zmaa?Jgy#3vJ^a_$fO;o5<790eC0c znbjT{vUoOwBkeKn(a@>-LfH)_cxJUWQL(P!a38rrPIzfbaYlpJphZp{IpxgtCps_} z?u_%s(GN+FgY>KrxvmrXaj?J@8%S^_kQss?tg?@^8f$g*mbM)`8$6j{{ECGJPrV2C z?vTCUtcKuip`mfgyW@S`IH!$$uz@tt1;LIdU9t=vK#Od$TJljJJ0<@V4IIJx?r^qm8_0ouG+< zu+5d`!UH=loHpSxp7r*aE_1k#nr2stRvvfek{)v6@7f1O6vq$9V)~&gk(%WUTyl`+ z5b_}Kk{ktf%O{7ZSvsJNHIL)Z$>Oe{3n!<#0=_z`oY9jL!MOJ1V7kK{DppUzQO@+> z6ZJ5dL@xx5cyj2taYDUAk;Fjbb8@$FG?dKsyY$o&ZKCboapfS?+m+;SD>Du^o*aJa zr9<&8lS(#`+g|3tyrtZtZ=*euoKluOlZwMJtTYFrHLGy$YaXMlkzr*U*bcA?<=8js zY@i?G8Sfsw`NDWI zE{-l$>Xcq(%NNrguXMPITt&_-<+vvOF@CfuvT4+ku)27g3@R2$h$_@R>2U^-)hlkt+G_!%&QG248L&_WPXC#5nLxh1zp(1;<1Eo>-?YH0Lzp z%XmD6lO7g~tTUa;bdNgibOAxXdqmB9*27~CJUrPsr&+QO_!l`9J|u^_;#@15C3hL= zQdbd$ksDO3GXdCrcVHyEt_%U}Kj10?Tn>~2N$kt;3AGotIqpQzW&zq@N{5@!f1PIYgaUR*E`;oOJ%y0@GS7cWi^Ff@Y3W4 zUa0z1E)?nOr+gF#9w$S2EP);NJwyfMX5@&4JrN!W$Rt@g@bpYtW}; zFe{j)^Xb-252jTp#dtC$V*cBlrxA%(wk|uqjc?&w&e!B!zZ*Yw#RAlAY@BPHqe{ZQ&;~UR zX3d?hR^YKVMh{SWN*Cyhun*KM7Wl2HtD;MwAJPlVq$3j#GkR*Jy%I0Nbst}{v)cVjU`J7r}+@YD!GHBtfzaCioLqGTK5xGm78kf~!b%_?#b(PaT zqX{*WeMBTF4t0~xRrBdpZ%}3hx9OK)zRaQp@}O~)engjotwNm#b9;N==LD~Nz8Y7X%DF|~*q4CRF!ArELN9()v< z2+hzt#JHLmTy3ogKA~dO?%;yzc18zxTvblOnF}~b9Qq7>h9KyV&>tbFZd&)}fVs@- z=8#C;f^HT0nC`LeyNE&e1KkghB;5~nKSF*-_ha2QlA`;mZVxd6jWKuTBq-r3OvLinQOsW<#sd~tyZUH9sNu&XCC?0Ys5ppOA zawr*cC_K$X1ihd!CiII?lfMZy`9_T~p$@3bJ2l3H5>T0UpFz)j0&Rf`yaE+?RpUo! z5bE$DsKbY$4&McJ_-?4fe;MlVJ!j9JJ%|3$*-xBJM*FlC#^?al+vl|v#^}F;s`~;| z-4~(i{%viAG5SbbVT}GqZG|zq0#*0#=|7<_K%YQG{WVn7|3+g{=qc3I{}$@%Z}g3N zC;HztK80cW7QKW;Xev5(UQ^MrL`_A83A?4SCya#3IR)6$`xp&X@jrp8_z=XiLY4bJL6!R{RJnfu zRqkinx@YW%pEx!oVb1}#N{u+9ajS?Jjax;0T;o;|u^P9E_)U#lMZ^Ja<&5|(ja5bb zp~k8rE@`YP!lbdPh|3zQiYV4tRm9r{>3l>)3E)0oidY4#rz7I~P$m9r1V!`Fp%1xC zb@#8`{wo!2Zw#}5M0g{sXTdY(c~dI%rGdeR5MH;C@tdYpfqPK^2Yu@nn!=3mY>-_bMoOma5rB3uRHb=_L$MN z>@Z1OrrXW+F%9+e?lDGS2DleDC47m`1$zbG!w=kK_#OVW5Glmqlk71U+p_b{L`MUc z$q@DP;G7rSCUcK@z^vjvKAKPGdzjLj5h z5jjRS$c1v5+${IY$?}Z6EU(GWSyqLf%A5uB3+&LO}#Poy2`H8?s09OscGaO4I! zvP>f62_?UNnr&u1!gH1pw%bbD`dEn#yRw8`wqH0FG4`$)_0G0dkG2w5fpbobWrsvP zJ0WV<&sA&+E(zefRuvM|DMs;WHBuD0S?QDerF-(4az_~i^%+*i*kg7vSbVFLi{diH zDh?OjB3b=P>=yg*rJHMfZp)4}Pl#ccg~*$d_@X)!9~Ae*L-9n?NpVs_^(MO}PVzlk z58sLthZ&-6lilD>#1(c6)T9*DWnI|=bvcv<)HpRsHOYn2>fhI+LS9oJsH;kp`b^zX zUk4F+BN!Qs2_^?Kg4xO|bwKTrm$g1s?P{xfPkpK0mp$qux0~%?d)ZO3NH`Fq%pS3X zonmLieDloB4fmLsB4)A&ZBg7|>x|qXZ}S77|0}^}sh__Y!$fOaTVF>5ALj;t+$>+p z1eyD6a*MgKh>5%PkRM?7g?J&=Y~eT|n@M-SaOt=r4)g|-E*Kain~F~Y3mJK5h#zN> z#yeaJ--C~FlUxoH;MRdw<3+%04=~m_yPFYe` zq>8parAl!tlfc^E+?0Zq!7|YXY|qEmvClDh=)Mp}L?xR>#$S^(Yvx2GoGGqo%8+YJ>Dx-BCCxE0`*c z$R1@=c>(P8NiZ*1sOr>c)vOZgZFNMttyXYY+Y<1iL@u8z=Wx!=k=%OQq0lT8w)NGQ zx0L`3T42VR#};E-V*R{%qFyf)Gp~7pdC3QPJ5TVmFad0&f-&=f`tp_?{-J=ddBP$- zDI_~BY-DR4Yv9sYhhX9A1&`2yuW(Oq9(coTiEIoT&*U;$OacGsol%dGU+_gSPnc(H zF z5=QaEW;6eof5PktGs0ZmzOcq-3wbuZuyJ!u=ohxCU-8eF1c#9^aj&>N-o!WXt^95N zfsnxsa%0Sqz;G*kfiT6tWOPgt@U=Tk&)?0#;8-yKGIoAPh}34@wk3y=%jAFsa8+O? zxr3+NWH{yKn$oAu`?~Mw{sP{g>PJF|mVI4Ay@?R@CPCEuNr-w=AnN^HhivC) zdeb24O^2xW(-8G$K-Bvghirx$=(pFm*0_1=W2w;rP2TM+d&z+VoW*hPSJafoy!?AzFPkh^e|$=5U# zi@dL)SmfVmC>EL1P%QFqH57|1K;3^0>i#dG?*9tv{(l8^{~f6N{}&C#B0mEt7DEos z=*~nUhwwLm{|0{pIEKFgd~hcAOe}J8Chm+L`D^$~z#E8k5r}kAh;A{6ZX+PN)j@Q7 zM*AfIjXawO&~21H1t8RqLxh?R5$dNQLd}2(^)nEmWiB;Nb^Hye<9`?G_?w0ZLlXKu4PT-^gWCNu z)b2lk+WiUC?*AHU_pdd4iT;g-FR|Z)YaKof*E(dtwGN+wYaKE*e2INl!+cuZz{z$#1f#i@2p_W;Ik?=ACz9OMXc?YeC!t}D@q@g z0qdHG03$5p{$Yr;5d$Icy(0&u+3$* zV#}%hj`>wZ)%7?DaUDn*Y&rM3qC_f|%EX<9a_)g#(lj8~6MaoRwY0OOCe>Ud&pYRv zD&%$ZQlmgbiMm>&byLZ0G~y4H1|h00uPIJ0C!Wf+-Zb+f-38Zztsw`HhmL zlt@X+}tFO4r)tl4_=!dYa;DQX2(xk#oMbKx>h580P3EiXH^NP=#0sdn zPKtNcHT8(&VudXzr&&%LNV%wfplQVUqQ=uS4#urpG}m~pmue&5L^K6zjdEs#kHDG+ zYzuOUb+2ilrBJ!w)T2C*yOmW}w(?S5hppAxSFhyqLoLTblv?SWcciLyszYtAnNdAz zha=m%sb*V!)=h1!mDg&#b4h-x7B>pFByOixhws-U3;L!4sh=~6uLVq6WQV1>3b*If z?iNy-as{kh$Cq)YrmUtccGz;tm$ewU9vAS1>kW#T6Fikl0hh()-YRSykY6+o@P*t? z`4iWvH3xV~J+ONp=mPq=7P1aSzBWQTh88LUvLdh zy(62QweDH>fJYMSG`k0mt*@nT%-ooUEbN+!C^uk2&ukrczH+6SfV zabM2sQ1W<^d58E+DkL&Fvq(!BlB4#%7;LE& zAGI`Jn-RzPW>^T+BX8<%)VY~ekC?Yd3+vkk(zA{)}wpsUT zW?UU|J@79QysoUNv-;#a&JuahJgZram-m$S+}h?n zu3hmZfwip?uXu!y^img}@l8WPeP1<+EhwH-uMEq!az zI&8hua#y(rt}w;V@dr|`5>$GW0e*&G~9~jYmVP2RO zmV|Xjwj*13BfJvhD#mP2gh^rAaVn64A`G(AVuEmot>R2A2=LBKAz##SBi0OOm%s6d4f$XR4sU^?Wo$QCYy_tXUdKm368R; z2=TP0PVNS_Frtj8WkMA2Zw9}wJW`I-7|W@eXZ0!ju3~4Ot4wUIJ*t20>Ma|(ZWJH! zsjjfyEj|Etn2HlO=J;m5Lwq7W7WZ#05>JUS{;qY*ItFIkRC{b~x~)OV;A8msRv-78 z+woKqgRUu;LvlzRZN?k@_Q{GtF~Cm{^R9692(iK=;wrJ;(#&UQpK;H)C)~@1lN$$o zFX85n$`@`8UG?!p;zRKvF-^?6EcnBkNPOQu>>PCUiwhD1`eeP~kk}+rD%?b@Bij{E z=3AIUJzIec`g4jC3SMqh-5g5PtwpGwlgE%f# zN)FLZ-s2v5lEohJHrvM+iUOZ3m3b9@t4U{G0_Y$@cc42!5Z%vpKS$8Bm(N~?t9w3D zxIa?3KT^1VEfj8~A9&O+P<`RpFZN%_JW2!pK_ucvl-CTNu~N6U96aK-TqL6E$XnzG z?4s0Sdjm?ZyxHUmg5ApWcOwzxqQBb@ct~kJoe4f$_3Hi22v)UZT?VCjZ=}EB|2U)k zf`vr5BRW1@8U2N$|9MBpYPLOVo(<3Tjd-uV{NANzOUIQ4Z@pLV<-FbA*=xJrSxc|? zmG#ivXUiqy$b{-~%N#go*|TAp0O#abI_PPy-r~6dj`S|s_O&Cu-IfU}=MVe){TqRl zKxUvQP#&=Phy4Aplpm-MkO9TN>)#Hn`@^JBs{=7vntvnSGIyyNd}D{V-m|FHz&z-k zr7ExOUb$yIEFYli$OOt^37b+(OH`q$oGPO%R7YoeXI5uHrztSjSsHlNX$~xPHq>m_ zba>Xvjh%rT@zmlKqs?R`$t*9YU0u7LXTy4UEuJRHgwA`WMEVZchUu}g33C)ZNl$mu zbh&-fwy*UCjnRp8m1C`Qz&lI3167?Po#PcRs$K_DZtQm6_iuFOc2<-RblSbM_B&qv zrRSZ*wdXZMRj)yB=<9EL-&ha5W4`gK2mXzsikgnH3D1T<&obfJt?BT_dS|Z~!H8PS zD^&wpo4tzG=QX3=F^^>1*V=47B%{e_^Ha-QeZcCwWbhYzj{OdQv)|+IxLV?!^&EJP zy+z*qD%};MU$SGCxf}8RY=5CwZ#}$Dd)CZ-o<)D8&2W)O;1cieKBc^!n=@ zYnD0BM#U>IO4>Mqkpg2?4#wqGKp&X(&js!ThW&H?(ZF5+53B@A0pWAPx9Lo6gsR7@$8T)-kt&@jvAlv#p;Ig! z9oyDSGRt3QO6=_MEPH3`1K!zkW4Y0MNG6m`(DT%WEt)!|^>h(!yJEcM>3jg{Y4i7Y zJ_OJD02govx&uprLH}t0^KXMTzX@yxo(5iY8vO@>F;H(%@-O=r{cC~Az_kB)pwC~~ z8QrNfV}TR@UH^=KD=`1}mJMt=5api$79C4f!YpvbXTJy)fcO6KGC?6>uzeQk23r== z1Gdj0y~u5FZS8)tw_s$y03!P`k`JQ$3iviHvKPR}{snmV*hN%_o}~9AguuRtl_E{pRqQJA4pxCxAa2ZxS&_jEP>=f>h`Bms1m>+8gL$jI4)a!xpZWNiIOH2=^k>c?{}N`b`d2Vx z)fCKF^-Y+uY8qy&`j&QI7cv9$R=p4NR{ap>t$GggR{aR(t@_U}Z`C%;TlEu|w`vFG zt@aUte)indxo@IbT0};(wQO2w?zx?FJ7}I3kZTB7J1PEE%KsY&>}Bd zs6}4%q852kJItxo0CQ?J!kk(Tm{ZFMb86u*r=9evmE zp5Y$qHw+nu(N4qH4PQq&!#51yKzYNI;hU&n_?F=TDjD84ypJk|Im0}v8Ws!-XxQ*= z!?)2c!?Ixw?KZ3%{sR4HhQBm?5B+1q2Zj&O0mG@`6#bL)CFe`gyXVd4&FFjQ?dR*z zd*|!V-$K87-gVxEe(ikA`4)8KJb9i(|HXOwJdKXRtY3cyqTm2B{9!E2-T9^cSH^F0 zlD-S#@fbNz4}sDUIRhT=(j(x#esY4o4N5)q7$^nFd!ztL95^n5^pSn=cn>XrQZp&h zt)SFESAmk9q-pY(=8X^UX6irF3$v4z0)&p_nm*e9?{{?Ug6#rsnl}0Jn7qZ}$hU}# z*hT$?{I}C87q34n-pXT&w=Rs87%w?W8Y;Km*}Q&~yYkk+1sj!Nny$>qV@y>SvC53M z)86X&yrFoj?6i17JM#Lo%8Uy*h+zyh0pd(7h)Owh3MGNER!a2hsSUcGTBhb`h3dVK zuhk)Mv4qZJ-Wqs|DBik=UC6gS)oPHt4(c$M$K=Oda(ro}XyE$MrMsp(rpe1kR&Y+y z!iCt%LVAxrq)+HKl!xl4r`x0HJM9VJio+N1D@5yh9&=U69lSj7C9XW7a#6dwc0JSd zB}ZlCrMuUUE_7ebzqEX5?Xvmu(baWJhxMdA>r!6s`emYcLhFmS=Pw8IDoxYv>BU>Q zn^bRmF4e5HsJ)=w)Lu$W(0lE=FRir46>oihJ8$vrNqQ+S{P}G<1$@ui_1D&?pg*Fu zjasa}cVU=vK}-3aR4a?4knGn8je4FW#!YU;W^6YxPT$544fEvj5gV9s}@0US1_t zm)rN&QAvaKDOGt2+7Lnr5W-0e2#AP)3?f88Wso8N6Ou5DWGuCmaw&DF%cZP!sh6^rT9)-vuh*s4 zWn9NnYpr9cwbZrLOR2Tiy4JOnQcJD17VrChUpr3swsW`ldG2$&cYV%tKKY&B`{%s> z-{1S@B&Tmf2dSmTmR&9TNlhII=a70iPRj9Y%Ro3M+}<+K(j7h-E(s5Y^)2_q6R&u} zv*8=z+o;(`q%Ld25#fTCvdW%KOXbTeD@e$;mR=IqBVrN8h2vT-wOlDYZtbIIWs~)A zcy5Dsb(&3AxO2mv!h5f(H<8*YwiH`7?Io$d+Bjm1DcrmD>V}J??jvapStqTNq;+!xVripcYVN}_+SG8HbO*xg?mb7hB@zJ6g8i)00qO=5qN0+*HMNBk z#g@Xj@)bzu?N=*EU3HSwR)x#M9%9M1w#>9#C+Ds3B(-Y8M_;)YHnudAbd#%=p;ua4 zM#5d;y)EP6V0dSEIz08leE1wGr{0#aa35>gq=YmrcUyYHQ7sGEr^(2aD*J-$3uIt= zUG_Q|rQVRe!SS*$$-cx1vU9R?oG7~>yTHk17iAYYg=|VT#VwOvkzL^;WLITZxp&EC zWwYFJAydfYo{QNXvzv>IX^Uy&-W}5()6PZ3bi{OU?}_P*>Exngx?;Mx_r~mr*~7)e zbjNgau`zpN_HuEt?~65X&&Qf#^SJlL7RDBGMlxpBa2c`NVz+Sxv9+<0F^<~wUxiZyPRA1pX zslKZEDp#(0Q}rgdS@kv5*SHGR*HvF9mf15~?wKw3%$EDJwB=+Iq;0-sBc-dKo&N{t zJKDUBhk`Mj5R3_~3hFpMxI9=&C=h6dSTvDWpnqLM;Be()_L0EJz{F-{v8Qm#IO=ORMh8^J`E^GF zo{Y$x-Dxw1U|=wCXw3~`0X7tM7TzdsZEOon`_+NzU^v(lJQ(aDbut_D1v`R!f}_EG z!Sg{=Q0uuGypuaa(_n7MJ!_mVoJ#L2um(KYt2U?66r{}r`i-a7D~%PEi#dz+X`7V= z*NW=19EJ-1mIP~{dil!or7Yc}@g?8{CIJ<1-*P!`Om&ab&a%c6L? z_}m6{ZewU6(7#6IJJ~qq-xCZsX&P5GMfiJy(V_jJp^~Q1NT5H|oue&28X8MCre1B* z1o{mP8!iTpS1tzn=n*vR*pqd$zCQg>AS$3rTL@@U=Zo*Mvl zx070$3QW?P3PuNqgC`m}e@$>PuoS!&ycu{9xE+cK9t$Z*eK|tPP+CX|Wry-f7+RA) zqHQ8!O9JhMQGo*2kiRA{>pAFN3e35eg1dvKg4ST3F`A?)I{i?{wW%vK9x5xI3hfHn zLfb-Zp$oa!Q?+>!<-^5?Q_locMQLec>v!ceg|3Hg6+NOgRO~7CtS<}Q%_u54xG{UZ zZM`kvDXLE!+c28mms=FN;%hIymp>Nh&x^>5$R>4Z>}lddXY=%-OQD%;TYeiUU0+ia zsj0ZYY?F$N3ipC%NZY#@;ye|>!eA*mD}2+Q;l{I}$WT%6fOp8#L$AoFBQ}nBdV=$0 z#JL>28qzfur5*^aB6+<>Mxlo^|9-W91!>ncfjQEm>x`%H7N7iQw%aq??V0WN=V`l< z{<81s+?VKjXFJ3j<&EP6uga_O>dBSTxsOotW)q##(e7PEuC(4lPVhYNERj#%8|N(` zZUvqNZwa}I@hXYiyyvFpA;-UD^GuPe8=h(J^0&r`r@xE1DZl&lKaMn$^yL59IG-VT zC27VuZ=EyuYmI5mo9~+2vnAl)TC>!wtx6n+oIa!Qk|UR&~{)T=p9?$PPp?>*uj_g?be^4|6F zmDeg4eR`k8SLJK)wfY9hdDM5@cGlN6HB>ZAkd#}m7a^GOGEHm_1!wf30#UZyA& zNjlxQD=p8H8|l^MUZQF8FZV~2_-B2*ua(?G?^n|lZD}QUY48ntuao->Zt3$)`b~bT zab7pBxtP2&oy>mTW^+{1p5&7j>$g=o%glrMI@;Ex0gHNLcakG5Qo6NqChKa_ zowXHf=I9-@My-*Q61h*-ZgWsKZXPsy)|$+RyxFca?~r%IH|HHgt2j%_>ZfpRX3}sn2(aw2T|H{z#tmz6swnX-_lW8Q*R11z)*ur+2~EN~AdN0MP|~{lt~F zha*0V?X35acYwA-?y?A8sL4tv`+ z?af$VZ7<28E%Dat_G`vOaUtQDk>D@{8s)-*-(Bw?gG*4@OLAl`(%wW{8KFdScQ>thttl-sdD0bWp7b@~pX0tMe(TvUA)fsb z;@|x*A%5@w7?of;!duxX zJhFKbrjvaMs+9J`dzNOqB|dDgDr;BH+8gXa^$L5Jz0W?l^8VH7;Wg{<5jY z5p7;Hjyvy~4TlrY*ht3$96nRbA*f=9 zs?X26<2aGPn|oY0lu@pGD@P3Tt_O}&t|iC$^oKN0>rWb@EjE+YY*5Xlk0wX!8)=TV z4;%KGNv?F~bVn0{x;a}_y-{yVKd6pQm`;dN4Q=r`?x+jv7Rztt@^xWHN4(nBo_;M^ zyL#4CWA4!F5^mUo&U|C{Q-J)FkZG9d)Z!BhvA_y49-cxQqu05mIeto3>Pc$z5aGV_0EOOAp*(LutLy zy*u-QVbNJ+UY@9N)|1?y)Hb<0Ovl`%#tZHy>9B4x<)q<&$)wI(*=>we@7Y}LS(Or% zKAdn;FOeJ?Nd7JwS1E7nj(Ti{4pLwDGxI$z^F!&jg;QNhh}*nmyd*^#tSKd`k@Qjb zvG|*-;k_4;jwefnF{$rOEpO4aQ+;}%`hs&3b8eu+C;X>>1-w>mC5Mje+e`L5eL zE;*v(^Hz4QJY64WxZ}K{USTvH(CJ)|9;%!yP- zr|Qh3$v(qD>vGd@O1pYRe4$-W`iwEZAU<5yZuh8)NFQi7oUwPM>`cB$dcxs#D-w*f z$0Qv|znXN{a?5gGIjfvi71@I%+)m3aGMpCFUbpW}h%gtbX4H9hmCcjvQ_kvlrDm%d zrNcH)N;?@J`ZEqEci1hqDq9ulk%O8GiRCGW%%kyP|q;Wi@)pW)=`O%8HTY0&V{@G$+DQCY9oKQfL> z6D!B{kJ67NOq)jaWtPtPMZ=kuj||65XHr)wXU*#5JuAE22MlUA85J@IlB3O~=H;Z8 z9jV#wJ5sssTtb0+QTZT2rK~b^sM?a}^>^Jp_PB&;bB8o%4;qfS&%1jp_ua$x68Ghl zDEFE4%ZBs0w@oJHZEKzGXv#TFXL5&ByW_h1g!`IwR8{YOXe!O*3KW~CRU;|osgWkN z`=%jmsxh2j`6yYPct~YSKURBT$9-u~KW;j2e_&s7j+@jbwevzsgLA<;oWQ$sRvYb8 z_Gwo`N|#|+H6vL}7n8MW13ex4>LXlp@l87}#W$s&P&FI&DQ~ZQM9+kv<6(TEVPCw~ z!+8{m$p>=)2O4>(M~C^E}_;Q+|r>r>s&?pC6_8Wl^Ok8C1*^gEX8n+SGY~8`|doW((2GX$f$B0G}M_c>n1(To;J5H{bov+qsMq8;jr84 zE=CGt5=<<}Uy{@WP)qA$(S0&e( zhAsK4<2*&_qmoB=+mmky>t;RKn+~XKsy4$uPozhw?9-k~KILhw>)CPFBW)du7t?R9 z?DnJ?&g{6KV9_04d0#)K8c~gCI-S>@gvu!ASu#h6Qcj}AVQ`ody38h1O-`ii+~y^R zBca@I%vF-vnNhA%>aV->_F4O^tH6H4e%s}-?vsr9{VK_I*x9So>{uumcQ$9#DsLEu z4eHf59BSt+OPizAQDMy9v0$x`N~{$L4;(d)I%AoAQa6#JPaaJzvUFNH4W+K*8GZ4K zDurP^Bb2e&g`$HxB=9e&g_a`?JrTedl$>^EKupobY@_ zVl(YOt7E5|=IUD~(Z;}AIyzjfVIosT2Cy0=xrhQx*3|G>XGUr)!PuJmt1F8k5!M8>k)(~syE6*49S6wKagZ zwg#V-`~RbIm&wv(J+SgFa+##`XW3af{8YB3v6JfP=UY1IZT^@4ewaUWR*K||esYR` z-2YqmJND!peJVpQ{wqJDkTWaWaCDNRn;gC1{>p*MA#$`;j#M7093xUUaUXi3YpWcG zzg}`RLOxHE^DH?|S$^XB5Pf;sm!0|IQeNe`id~@^SD3 zcm_fX!Vmx7z$4&M@bfej-^Y=j%6|izPa~}2390KM^bf(u;8($)g5&U+1Njr+3(zD( z{(JCK;NO5};F<@*Rro7#CFp^luY()GF{FP#`Lhva_^c@Dgbm}}ru4Q>TxsOI`UB z2#fsk{{Vg&e%j%G8GKGaQwezlavFS|hx}2HmB}=S6!I52vT_u@g8Wv2JHbuxzYl)S zf^Q<`4@2JxBDI18X^4fK2*!hmjsFqkRIn9fHRwku7Eclw3IA~APeFq;h-hg7>QwkA z5GmvjL0*g07C|lqS-(TQ3q1G>@I|l;{2KTkq#+uyLBo%NXw?GZm;WPVIXDFk;uI05 z$jZQ%@Ml*`5#W%r2xUm=D^xZ}Ga-v%#%Uk7i2Q{WQ#YbMkC zl5vm9#}WTeLHHNu!3S{NMXAW5l(|6<=mlA>FGIG0RnUAJd=q3j{TBFn@GAIS@O$tR zg%XQJe$PNgDB(2tLGZ(18`8r{@~=SO6PcF7euVXd>!6pwt)Lz8qpU~^q1*wolCJ|_ z1f5_tQaHfKzl&&G(7SN2&p{pq`#}?U2zUPpLR|p=JM?!@KBz~YmDs<4_3(cM`tN{0 zg8%KvDe@u=gH1^PIQ;w&ntdR0DzY{<4Gr3Wq7yz*K0GT!lrWh|t1*XGV?L#N4)P55 zJ&w*$G(AW;>AzI}O~@ILhv5G=;Ll~BCqyoUSrB&@vq2vGC+L3$vNYdBY(EDVph14+ zzXj1g<*ZK6BL>u(94(6f0r(5JqBV+pL9|a1kF{be@>PLKo`jKPCkz>r4f0)f*aIIWAOXLvbtfdhWr-<>Vi<=~jq2xQ^ z`tGHZL}n?(IM1_j{!>fW>F5DhH_}!MvXrz^S)L9`pc$@BaD5r_%aCm#W=y`05w4rS z{{#)jaDETdfPJ#PAeAxR^3{}zAkDSNX4`AXbp!5R4{nA34{`&9Z3vae2t8&%`4;XW zEj{S1vTT$y(eQSZl9p1=?892smuVV=k34>X=rP~%Yd}BlvVrA=^U;~& z@qOBsmImoO3!*lKhp6RWQ#*%$KSI@`##b$cN!n1GVg<-b3^Ta+LE2h`e)zYtdm)}z zA)^))CZz57QUa|b-0R~gv0s6xS#dqR3$c5twX6d5;5R^&r|4m=4l!rT9CT)(Ya z!VXqzaQ!H_3!3jC)Me^ZJPm4Sd5S5Nyq)C~e1(OA-YYvy+Z*x{gIW%tG)m#;*T~WQ zigHKqGLgNyd;{*rzD!2vuz|t3y_p>Pf6(rQ(vAo08LF6tiTykt!2hJ&Y(-q({!$n3r0T;wZ{+AJVxWVSmbE0MU9y4O$~C zF*)pN0sTc_tA;0i5c~mn6MPr^rz3`Mfh^|3Ao3_M3z@Za> zVr&$C4jHyC{}aft*o7eEXb@wRSP1=9$nzl9dLq_w0?JnS5;V6#tgQrQCo{YEG6*YO zz*v+`I-`?#G?)Hs8fNZ zGZTCs+zi(P;1|)7QOi8+WPz#^*9bpZ{qsQ?k&<_3Y&R@#`mMb;P4 ze}xnf^P2D%AY1ogj1t*sfZi%(Ahv%7A3=kbBe1!Z-50G?z$#T>D{;2-fHk0i{w`ow zL_q81ng0)CC52rJ0re%Y5o7t2Hb#k>6|n*$_9T^Y7kNBvn{qJ!iJkKr+8C90!bZ|V z4#6U-0CS*O4d#IgP!3u_4G7Cnz$IyHXJb-&)6+l{JHD+O|4?|{Y zdj+Vu*o!7c$Z;z#0_U3hOh_Yy^J^R)U3yli8?I{3{$?FH`@oL-QUG z>ETftJk~qJ8mUITQ?`I=unT1CGFZPt0;mJu2R&9{B625Ut-&*EAC|Dt1AF`9kUKzT zjej5hQMTfHp z)c<-a^FHPWb_?4|gPC2x>?6L0 zm5~Oi;!y(kAah97ca|>FG5N8HrUx3f_UQuIJaQSt+#!eEB8M%ZK<&#}E|5093L4CV za+JKtTG1?seNh2BnWUf67+7gzOct4qagVhG_`w~;UxC;$mNWg2k$%?3(0b*tU`6cX ziEPfbK+kr?vyd;gH;57z*lc?O`a|G1L6nTJ5k##C@!-4Qihjhy0uei?M&1T}9##RE zgG9701#BS&>`4XoDix=Y=Kb)4-FQKTn4Mf4Ms-3t%2|#Qlm7%UTfj|VK9~unBDT(@ z8rs64VLjRex%aVx#K7#FI&KZ-VnR8yNZIaRH12}gO##Z@Sb7yCd6B)29E~G|utUhq zMN?SLEhn*Ip5@ASlsB8xqRxW=BqYbPA zk?ofjAV=>(N>C=U0M{BEIl5fdQ$-lL5|o&jCCSb77Bc;BC`G4Cc54incc|tw6TBAv$Zg+2nAaU z9ARwsi#M$ac9|ot}97Wg=sI=FwAl>|@KZ zijgx5nUxjh0+H>j;~OP8dZmaloPH0>(cMm}!F(aI{)L@h1uJK^*Ui4^VCiRd{a-1U zVLo~nD>2xa3igcytY{J64lHB4?yxpRj4BGOk`&BNgZ(ccHnL8kJ1wl&!1fl<#spZc zBKvLv;M*$^ZClP(>ueXgfxAZT!e$v(8dyom*&YjWq(HqWuotbs*rmWO zy@Gvoh(5m%;B- z3ZIr0!UCk4Z&S)KhKR6N<*;29Y>mUl9E^1$Yk^7JQR2!*zj#`1a+H`HWiFy;$yuwz zC@r>9KO*b|fz=M?YXzGz+3JJMP|S9PUV*+WVg?jh%fVA-@TJ1?jvF zei8Zo9Q>RI4}gCM9){~<$gjbF9OS=*{zK4w6&eX#4c-7dz>+6n$x2Q9G~_0v;V>hy z5M^R6^q)j*PRM=W2XGho6cfM|U@O9Y51ayj3H>I-AV)bwLvMqO*!brV+i~dMfPXVe zG8^(ng#7|sA3@U%rbAx~{tYxJw`B-RR%Dc;;Ag=r@Pk@YoCHrH?6=|i6S)4EQFaL< z9+m$V909)z{~v*T7DSpAC^rQwdH7eH0g)2%7((Sh(*wh=TFT)yt`SBFd!~PVSvEMsMHFAvea<*H= z_JLa&sjH9=`I8{_1BLIyR?%Q2d>Q%=!3NM{mVXs=fnMrUVBbg2L4y%q_{!gb^ux9WusGVJqZp=&K=b!=5(#9-#y2tU*dxnyp|d zSONOM_27%(Uw|k9{u783F$i9;7Wxg4b&z?G?fOPRp8>g;rixhXWkeGTeH)kns=-Ro zh7_{zRb{M%A)_Su8_1~#`t6AM=irZ^fh;URa{=XVqopUTfxZMp9SI}QM?#K({6E<{ z4=AgObW#6Rwf8wq#y;ot2}H>`i_k>LAV~y?A~}baCZmX15z#RXCd8aU85P5bfvlJ? zV;IMPm=GPaNWZGD_RL6M*B$ShyWU-It!vA-Ygg5-@K^q;_Sww7%t6Ued=*zO zjT{^Vo5R}p@$<$Gm9i8p150{eb-hY}yfy*UGlQz6E`VKWjmI zH^b>eygrk4rD^9&6FK;m#SetG9MXa`HBAqSB=2G=i|c#v95~)F_ycD86Elw3kHMym zw}*4!7}ym_ZpJ@t&~(7Q1La!*`BcD$S(%Apt^sp!8X-J`c^+I%N>0LDL~4KJ+4|V5 zfVgTTZ_1KKRy6us`XBaC@>t{NG4sqrI}!TRJFLZmxz1sj-coTvJR^F`m3jB{%o^^HrL-Y8DX}Ok~ zU5OKK7kLVsLd#>|AlQywwt?zBm-mtf$=8F#_?k<<`qA~qdWGv_;bBMJ$HizSsN1Z0 z05$_kwz@tLa}OtHQycSfCHJ{ts|xOuq+mLe#w>5RGzw331#F;a0Gc7M|mYnmeV3!k5P_r`Vr$eLYs-4 z2O`@Ja0sqrVKcYV38zuA{iqeaU?FS^+oOAI3n%l`AlM&%7U@9Ty7*-0KPc~VWHvhu ziR~L3xw7WjjLNvy3R&Cg>ev^OPQP3I1L^;%xv&VBZcdz_mzfi#v@o)3rg>!Is)xhkp^bgV5B?BJeo? z4wh>vN0;Bfs$-F7Q)uO2M>J=DhJ5Byh`A1~ort#(`(Y*JY?#W?_EgTb4?eHO+zUS= zU}qU7PEXa8{sfk^hJSQ@4*s#XV?ly??#z^|9*)ffM^j(2%uI6hPL63pyF|XV-0Cz= z`UL!UcVmREO4{lX&NetnEfS}3a_0H)Eca~Cq-2*h*XP-Klt=?tm%?>UdZsEqdm^!} z#Mp`0cVYgNlTnTEQ#PtyF10Ta+CWzd`YTnN`s`d+zzg9T(s?~xip>OMSfqNoHKU{1 zUDD9S1-Cis&V<|s7OMJ|jbU@x0Cp}(x%nIAbqgV139o`@!aw+uBAkY>11Vo#^1Wph zQdJ8-$CM~q6EPy~V@oDm9t~?b>R+Ogtzp^N)p=V|?Hv!_YhCLS^0WA9?aI-{J6qgY zsixEr$0med3pS<{&OjQAaIJ%VKiCp3q8EL``;6?5r*6A4EyTW>uMg2EypyMzxpRrk ztZs7sTf7Tq^se#7m|uoZIK~5JChVTa(c=Z^QRDtR%puPF9AX~M$%>dif5PrSu)>oS z(+buFX!~RA&6Ppln1}x|>;VPW;PVcf>Bjo^@`BCLv%A72M5Q;}buMVYAuO9VeaQXyY{+9=#HK*5(>bj;;&6-oWcFma|HV`PC~SkA~<0 zf*Ei=BW8Qdtey4Im|MZ2xJIjH)_n=5<ocxK;z=nN+7;FEyfB(Y2JwZ)~;TkSOCTOe7eot1}=VRW0 zrsY;_?!@MP_%SwK*LN2@3!>`?yAfs{Ht2tXG1#MN)M!F9+P?suPwZ;A3P0Dm7=uN) zPJ^`T08L%c#gi7~%Y7j>#qe~9#?MgJhW4eOfbWpz?##ISuAJ9=qNfVcoS0YO&7RCN zZ>9(Ml&9JvdGvw7h=@gQy?}Wvq>l=afFV^OS|;N)d!*K&B?uN#OK+p3sGsI8#^_DN z@-Rdn5-h z5Zv9}o#5`i$l@A&(YybB@71fRKHbyZ=k!?3O!b`k8g3`I0+BIb0i26))~{TN^zl9w z*72D2KN{b$E;md5@$2u?Bf}Z+ zC%3pYHxj%a9A1AI28y58eGG-ogUhbMTp4<)uGqGH-EdIII)#A)EiSULri!kXD|#UJ zN6>TAa1(!1SUlmDpyi2W`YweIv)Z69#fg0)=3u zb?npAj$-9_-UhZhN;5y=+(chdK9b)*7~Q{_)#8a}@3l2goSsh42rv zo{6R!qVdQRt15XjoavYVYLkNt{wuZ{= z9HuM=U)z%Me69D(SZY5{IX4zm?O9r54e)L&N$?)1IT|y156#B3*}nc&_N2IfY_Y<9 zSit{t+HZJ8-nub6$gCeW4Ncv0!A?OB3m=|py%B459lA4q1ndNT&B*0BnBF$CzBWMJ z8c-hTyY1D*>m(6{s$)ThzzGUi-c=j(!I;*kv?F2VMH3qMz2h-Av+YOA`Y;AxUc^t40i6hoouxkB`t0OzlWrC2>nekvX9|x&^L8kY!3*~e2FtTj#)mRkc$&24t500vaffjs$_Bh( z*{tOf;~R;I5oIPY%}Jr|A(uOZY^o&c+CyWIQ+B7!2!We^ObU!=Dw+wMv6>`ZGXndo7muET5 z6`txHG>>KRYYtUpHOH6@Auiyz6a+qosjv{iWokr19`ZCKaP;l=u3#RiT8Z?es*f=y ze88UegT|q#1#6;%nCvuyJL$62YL(! zG_dBd8tr=Sn0w%ln~;6Kv(BO!7sskb8IjyEh}(qM700kVg}%LdZV`T-DGfdQHmuPw z=%aa~7a~`33i>?!U0D2Qp!dgXOf|~;(^?5N7Gb2<(dwJyX>Mbz>nY(VWfYbv{NWe; z8Q<;)vT0W0Fb5;6gzPP&ul; zt>Ec|D(7@9{rr&d!u#tj3)`6s<_Tf%fxXLnMjpqx#8qJCyWkWbK}^6ye|PPNVgnY@ zNYiWwPj=a6D<(LGDrKGs^QGX#kOnCDM7MKe}6Ge6f>g_TXVk`!}keC(8^Cs*Ht za5oDSSCXz&;H*&%hf0LlEQ8wUPzVJ*#)0Ek3 zAA5cy*hh=7gZt3KD3_*&GkwtY;DI9dNE2dLx~&8W<^DPddeV=`@}Y(ExlYaJYu~a; zvUa!x5bTD5Y!daTUFwpDrzH%sZ?BZtZ?vF&a+v$Tj2Tbox{hxkNee z@W`WKJn0*?B|Lnd5%^ntE&mB<>Y@!q_3#7mg{{8p4eQR9zY}n-gXwQ6<;xp<6%QTK zpQz`c307c#+g0opX)C&8vyKf3!3&NfFq@K~U)@SKYAJS))*5xM9VsWXjx%sIK+ae{ zx#1LJTT~~tfBtpUM)>Ly2yy$@IgwTnQrXjA9p|*iGNlqaK`gw*i1>;hTv9*i|?J6=&h2bV*5(q#p`@^Q}T^q z*VA9C4-xCH1^Zw3Zx4G&c1Yz`GrsfCIHaoKm)uhL6Ql&uNQ^*YVju$?xbXO4CJet!9VY_$O8vVkBiz$*wqjb&cP3(&b@}C~K z+~x%kG)Deb8-DY{BR~r6ueRs!T$rt%CeZFBS^<3|4!P{xyyAON`TK(6jh7Mpr)EdJ zGohBp`UCGS51M>nPXF?@q41v%HwGjVpLNo`NvB(y7moC zuS*M3^Q@{;vJB-)cSLGGqZdCDe%L`_jn_vtV2p(zFsaj2sDZO6`UWX(%%p5jI!D>| z8#VV1r{lfMVsixL}$rFjGp{e-Xcib~3sai%yV?ja) zh3ZduV^xy>MuxI4nnusIuhOBX8*|C3BzLkpqqXLE&Inr=pBdmIO}nn6p8Ax~+_hgf zC*>(==RmbGyxIsz$zv5W8|Bj^rOVfdO>k5iSc;EoOqrTfej53K%dkh0M9C^!JZ4Rk zQsj6b=xAA3Ou%rnUm0E%L6sg+`#~-A&VX&jb@x&8Mh>`wfEr-D{au6I!TX;{!}n_c z!#>q3)>gCK!BsIchKk`2e? zU$KLEh1XiWk|OVp--%!wgf=i~Z}sn%Te%;&9eKs^2=FGpV9MsNqvreIXCGyuL4?(t z{`W6~)(~EwTJ2ZYz}M}s<7#z^_5_(9fqfqKb*85)j_yP{C^f&Wc5MFsy-6Kh!e*kT zjp6@59IViPZqK>F1{x!|hxf+z{VW)au;Vs!9&Wz=yZ$}6qOPhmW#O%dwGFiMqCU~U z0BC&G-AEr>5y;zkC+lc!;++$h`U{fVdPgr|vFAA&YjzuZw_~?j7hHZ-sFt4V$w-G5 z&zUx6cYG(Hd$GlOv0ZvWlYO;adM1>8k-_7|Q`^rV=mDwxO)n>-dLWDi`Kiub=_{?X z)co_?Ibo-Z;qXOB?1>~iZ*5houA@tm*vDO^%NbKB53nqGD*a}eu2sqS%W8q}#IQ-Z zEAPI7*g1RZ2);e*qCn!v!n3aXXU(kJQOTW<@+Lc5*5psNr3setNy~<%N>1oA|3KHN zmjIO(elgHY=n(o}Bj67PJ(?O)&moCN_ZFP0%w`LmU8ibwz$03WvJ~FWw!r zWkL_)%SXERdjUJPhX9*8xMt^5kM%FG1*c(0JS6Q?JlDr#95S1i+^ig<{1U~0<>rOg zH>HQrn9dp{$jDX20*wpke&n$nnda^Vv*@CQ$V0zLwd~oY=mHHYR-MNGTTFpQ6ezr3 zQ+gmKf_P_%OKfpYCACi{w==2Y<9R{M*Rc=15ZNuTbcvs;9Ibh1+vOvwo$^oTK-Zhz zI?h?&bPpw#F(nS#A>Cv$d18rV%BzlIWzXX=opu~$3$IB^5A)KSObjl5Yc&@(v77DN zk`Jg>zN&{+dB(#^55}>#Acu|khb$SXE~f%AnI@+UvdxlI>C0OsNSYq)>%0P;OV7*5 z6)>foqrp{cJwokT*|bNu!h4EqzdMxNyF-!!J)FEiy?b!(p_oVpl;}yWL2O+M$kVc0 zF6ytTq-#>N`95h^h3|{VceRsFSzLDBkbcvZ3|zcfV8(BG+xlD6qP1>z-`!hnaxm&r zue;UYV?0+(GA)oLD@e`bqwqvB?lqr5zr4Pn-h7jv-u&REAAS7CQ#WcY*^~SZetB5Q zZtc|R-j@j~b%?Ha0O^Tk`004u=Zhy6uQC^;yM9n=*y-wnpEOP=yfzIkAMAb3)CKfo zyt~mM-W=BuEijw3-HC&d*YA~V(&nBH>poL_Mqc0Zgsq=Mmt?UIiNjFc;J!jDpMPY^ zpSApEjj|5?8ub^?u7l-Mlp^%POKFhJdUTFetLw&4ay=I$)G@v_YwY}9VP7!At|-2f z)*~6`!PH~y)X$IQ-ESBB=3P^bd#oIe!9}(H(N6~KS1Gz-XGEv=v07AXEy4T_q>3)t z!#7uBP~=y`fJpTkl>CODAo`?Pm?QGE80RZj=*K>17jm0H_KGFhLosI;e5+0N3Xpuq zDFk616Gz^zlf2$b_Iy2d`}sr|?@Lt(!aa5qdeV)5bCB%8M?Z`C$?^sYbS)#U;}&>$n?8_Ezw%B%Nu=Ye(4San-Ju zC-yj%Yq59u7Zqy1U!0{G`;NRa-P%i6HU+1T&9isj>*fp~Kzo24e%0Q(IOnxZK?YPk z>Ail0)otTU2Q|s5FB0y%Zfd&4XFYNGWbzesoL*d4UX*!_+HR^pBp{IxJ`)b`ERe4rK953IU(pC%35ts2n%XLU6&w`HZR5V|^-t?09D%|!(FyYIE zanSZoN^4~`#P>t@`yFY&sePuaF!}REnRbBlU;PE04DDfeePMZP4SiWTbgex7c`Tv) z0;;n7Pn8Z;otQr)Q>fCt|9(MGwc!<}T0jhUCank|FQ@vl$o%k^f@9jpK?;+qog$J{t51q4?4R&~&1o28rQ0u#;?f<0@W6S4(ZJfs~niPAH(GpiM(r=+Lm z1`_{{8u631akYuY$}-9@E*B&+QR*+0kn78L=MPh$QK6k8(sX=U#88GJ%M>QPDk#XC zD&g`|xY(R%@pHKNoWS!lxG0~P@y|!AdAgp&ED(CiTl!cAW@mWP-E|9aGzm88GfBPv zVpd?1n^pjo^~edv&lWordlz@6WsI6S7E>!zDGz6+A157KADC88=@=gXtH&!jkl0$x zY&m3DTY$ElGHj=8_!IRLuW8W-w-bSB2$Q&J5^R0|I@S!fjLFqBhBStQ%!&I6TPNEC z$OMv^>Os*&g_+C2^Tfl!^+etTiIZ5fL^JfqdR}tg!OGE?kr-BW;IN4TVA+()j4Hip z^?3F8Aml9bjG%#0JBfjn^Wb8f-^A8YznRsook=^bVxrNpH-BW0^qn(3vmXhy=c>`O0T|>wA&#R)W zdOu9>Nu8PqyN<>Lw$i&(VGKNvzl)|Gi%^<`(&p0smuqnino4rJc02|z-RP7Soz0|{ zvJBI*jD2hV@;uu1IW=uviwc|S#zj~4HOo*so2L2X!mPimtSU^3A+Nf)Ck>fFx2~yP zubJarzU#$~)IO0jE!0m~av-B4?dv1$vALF{0y`Q7kWxT*5vh-i=~IgLQwq6{Oo~7r zo{uofQ&9RXqQpGaLa{=rNY1ZRfxPTfl)`Q(1wbheO7T#tgHkw@ERMQ;X6TXoe`;N2 zJ*OD%*VZ@I+cdW~$}h<-B><|EN9(GSrFfUe3TK*FkHK1L&aPFm?X7B*n>uuf7f_P| z)RbyvI=2or?`fsoI#tQSx2u^Ai>$|-Nt&<6BRiZ+hOnICU#!JP*`G^_w_}kP=z7Jo zRCkSxLP=v;5Bz;u&!p3eiFLLKJ8gF@Qr6{Mthnu-lugGgUK8qKy{uO_(uB>u2sIzB zMbbN*i={x#bWk%Jbfg9-cY^X8C`W?wNGMl@cKci1^{ZdH{LRuE`hg9*ui?e^`klDx zfw*esdwd`Ou$j8DSU=Cup2+UuI<&R1w3sqCwX`UFY^^S-ThubCEqKh-WSaZx)Wgjq zelo7{ysR}eYJxwe(h)+Jl%_<~4ffSaIhT+NyunrT`eFxenvAedQ-vH%U25 z09JxF@9hHk?B21)WUeFV6PCe*nv{Ofo9Vc|X^su>`5YwOA@H&hGm8M`y5!^0@h)<4$m=O$ zEU0*>%Bi#MGjM1##JMe!x)gg?t{=NzH(eNvU)p&VW>bSs=5M)Ol{&?1>h{jyyZT}p z(2fvPxx1w7lrV3}o)lFT?UpiBO}n%&)g+G5ZV_npFPC#lgWhEQij|&_e12jc)!Z%9 z8Yd~zTCq|VI2NauRhR!sFD@=OvrJnnZ!fbuS$DYq{i1!w<)!_`U+(nw^uk{be4~SS zEk?bxVC2io9f@YQ(<%ZSlG+6vGZNUzdQlNe zb{h=KC6?z-%c@GNE>$Q&%7XN=XeBX^WR%-f_+LX+0##tU0E^^{qM$g-6w9LJBG1B* zQ*C83Wu#lOGO;q5JFBu#CA8zfvYx`NdHtepS;7Us2AB5Ex8__UAtdGIT-843`9=e? zJ}tI316{4Oig`-i>6c8~xa@BWlGD;TShA8#3N@$^^QpzlaEFC<(jZ)&w5^OSe4U&( ztEX23o2P->(7yKD?%TKRq1%$<=|Jr{ggHMXTLr(2H$W?=GJ`UMMow8q8MgHZ`w08z zi)r>Tc0_<#x~bMDG&3#J7!;zZ#_2{h0Fcsz@{@u|G0^Ojf|;4A8Jq&}z$D@~Lz+h# zr0;&Bf1=(%9ePhbZq}bkXt;TJPU{gg2VS79YZ@CIUdFDkJ}J8{{Q(|cuAd|Oq-JrX zwA?%#7UgI4T-K2dRzOX5hnHUGpLe=TRCBkQ8XnnC%Lq=(XpcC{Sqo}xfwyQYi;2%u zYI_DwS9y(J?pM>EM_xQ2hvP4z(Sy#KX=^6SWHoE6w~Ub4$<)mjjecBLA3e>*IUCs; zgP`-xFGU$Prx#wW7$zYTiABu{+BB)79FB<_6!`m!9$FjAq4#6YuH?^JzDoH<<=(ET zf6kW9n$OsDT7WtG?PMJpr&DJ)_wAGEdfV*`&!o%etEsIQBNsdEY|jy0wk>aZ`%pC= zRK47GG1A@V0(7nEx~P5I+J&kCP_-M>yL;RPc+c;(Y4Q4+eA;~W3>{l=yPfQrWI1c~ zP*i(4m7xU)YTSr_johE|6Cr&bfh?U3$D71R}|AJjmmjNW%%j$Q_}x z3Dx68yJN_&TO>(AqUaFDgpr4MQQt7~Yh&{G9H>(S`Lzsr{6M^@bf>5zx)hi!gb@Z>?pw<4xW22 z7A==RFZ(7{Xht4iKo-6bL9RrVAh{_?dPf?*5KBJyBSEr2k~Equoc*5*#WH(>Y=b20 zB-vNE5;hBlG~738e=5 z%Cy$a5U-@QlKa)V1B|bth5jTkOL>h-rplDo*DwKpmU!lR$p&a%UWQO$lzYN_-4 zMVZxwbTV*RGg4)RObgg!iU%4_mEep#vvTr&JVTVSoK^;h40ij1^dye;Nz{h%#{*nd!0hx3S4;S`Pdj07Xmf%}i`oG2nfnE@ORgCFYpNjiY7dv}&WqMcu1s>A1Tn zzm31Xi@(0fCqV9j(e;2XjpM-5DPGf*5l}HnaiDaA;FQ+3p<`IhuAU~SB?!ZcbzL~A zdtggrcft9v&fVPXbXHy*$vx%F$uCDdKaI>BIHBPbfeReUKs3uwGoj3&F*~tK?ii0e zKI`r^Z%?lVEN{wk;`^c*TlE~#p zaBEPwYwmv5))$#%c1pmt75@`&mV|3F^e54;f)d>e`@sb0Jw+g!F{$H891k3aV7>DUm6-O z0M1{nqhM|5Su)m4T^aTUT6{Cn4li{4>@rASroh$skQ2F>r$p>!O6x~Mm#(pUEr;Zi zvC{hTOxhaS(unee^2!(`2yI>hZP6DMEmIY>eHBbqRaNChRg?}-ZmmV7CRIXJ^+n}7 zRoKN}9Q74xl;vem!08GsSGoDIYV);HV-;cE1OWh-8uc)6lwBVK{Ln(-oyD4~@Da-ow{Ni#8R}OZONtK2(PxF}ciim|W%WPV;o5hb!Gu1Iz6%>|GoI!czl8XHk zT1*Cv1glrNX-oJq~Uh;iNGiRP3XPUZ%*)3#!9gB z#GqU@jqzBzV{vDh0d2Z;aXt4KI3q|ZJ&A_dqN8~%J+sWHy!|e@%y3yeOIIB?X%J} zEznU>F}3x4dmO`HGyXGpvEMbgs_0yLX=JJ%=?uv=#%EN(aGHiHsfU^>IW}2&j7mE2 zy3A1vB5R={pgu*dPYq0V8uQqf+5df=?5GIQvQX+)WTXMnrY2viI+iYusB4)#|#BcNKn)bX9uR;4SjW=P=K) zENPl<6hC)5kGcn+X{0a^S*V=H^s@EN0Hs~DBPCyg3b z3DicjO>S1a?~%28vrUm!Nt^#L7-p?ZYXV&{GRCuwRL?BbCh=BE&e)$P%4t?T?vs7B z-ev6;1ed3e^pAjdPEQ`MGOu*6`EN~Ig?E9>E)1^P8dZ7UTtWOw-bNZ2XZlZdwM_AlVYRv-*CfN+!dq9+gq8VFtmV~nzO*Awqu27IVyWaZu$aur@mcy1!I(j|YcZg8jZ%^LX-yxM{rga_1 zWWyL45b|@;vxa2?T0P$Or60#owPpzRSC_!&rc;q2oIS%*Jz|z2+`T;CEOS{_N_^$0 zvLW_T;}ZN>T+^YwA!OrXMO!{Y6`Y2s)ggj{xt9fK1!FTndQJv#f@z}RfcvHsQUpo% zn0?_{!9SR`zlr!$E3P3zgn<`4EtO`k#wB64ji=eBL8F$CYF}hcQJqBCmt6l~Uvx%Y zozxXeXF3cOVRdMDW9UqYccnG?tCJuq?%JOOtCMJ!z7*C?(b-? zZo$I4yMKB$5~z-8Vgs>)z7cbPI6xS{y_1#1uk&<2mgwT-Oe7J((2AMv9lkJv9HCff z?^oR2u}9>CkzphEjw6p640dSViJ+@MTf~=lw_n=IwZOQ~QvZljf95v8-7tq#pGIYbWi>z=7|L;fzlX(*N2*7vi!eG=xie^B{TO!jwafahL3i@| z?$nQTkOUFYC4Mmkc*OdIjyT9rz zi?H`TNNnsqh6@7vPVr79CV{graAlwHI1;Of-bpx&H~8(nDPE~#;xh)WF+5#=7zAc; zEKv=4ULe@r8E$B};VXVs=?Vcx&oe?n-kV$gc28`84aw6h&O>)B?R(AN9^!%xzOc1m z!NI=674#9F7{hMEeLS_|*<7Fg15O~GH4GT{u#riVmu}qPys20xD(G=!_}@S8k2xb$ z|3MIukeqy`;Xvo>eZnKieCWJeh+y3%}k~1N?~yEO7N>@ zq&x2x(cUSFA6tLQc0y90iYnx2TJqW58QUa%m|)|*Qs;dRb8yQbI`Hb2e-EkBm& zCm;Vd6KoY~FG&W;`}n}ZKLn&DBMe~WKA{jJQD2o#p)<+i$gIKYLfJFQdlF0|{kkNT z$k)M+QMO(F-LKc3?ltaAnh~ckJ>TD{eZ^9C4#Vwu&rlIOhT{)M$S!#{P&o%}PjbI@ zR4L%Z@_3_S8ns-^kSN)y2>h0;D#H^!DOo7_p(I!(z(9S%=aQXUPPc&h+pLQ2vuvaV zjnFcymiT8&hDN&JIM?JQtuPCl#?zoA*RCZ^^E{VX&o4GjTWddd;B9IF!bernOX5gw z=4e*2pI@8)t%>e1j=+=$328Mo|H7$Y2$40YZ`SwHeyqBWxv##W^~z?z1k{;Yx77w$ z889K%H0akg^}9C5bkUxfQmxSJfSh^3$Ov~>acrH?sePB&@+YxJ4Z+jUcgPyi&^LUa z9GvBc(~`jqc4qLd5u_vRUAxW375^YAdQbfoLfbf9t@B zR6Q@%@tb-Aze(7+LNjc$;5zEsRx@FJmocxk*Rt#2efD|jxz9SwIzsbaGn&m^bF4L$ zwO?IUqmMPO>GR;y4?Yr=&kaGV{RDYR?n-~?_$X8m6_6JD`3$?SqH2G-t7%ZvqhldW zBgzCF2IK9(8J5|^RLub zEc34kckv~E-)$fMq4R))?0Fsz@!RWYY7cDAQ1_k4seI9~%aR*O6%UA^ZEkvdN?DN) znwW?vj^h*}r;xwrcn$&A*|`Kr@+_n5&dc%3e(%cA6L#(j-HOEVB<@NIG7xyq95e(x zqt|o(sTsj!)~5kWTg8y54-m{p>ljbcgL(dFN*mt3Z|0ER9$Gr&H_gGlea{y>{}Fro z0a8QQnJ!PTy|_n?w(G?`DgoL!%82TwIm#%OxZ`q9UT7c>^BtO*4%*Tu{>l3E(}%h3 zW0y_vmR{f%R(^{Za|09zZ=%A`k<4=olm8Ol3G~5{ZNghb@^a&_pMMABaN9|EAr@%} zko1kysKT<}M8yhu|A9d=tu5F6J{3TL5e`#x_q5U0vS;PeZ{-u!dPNv_)iibndk^#P zr`$dFKj9eLz=ys(Wv)}6&aoul;H~uU!rcOYoEJh*9ul8_bnB3#oyh6@Q2yZ?G>ROC zihE5z7(@hyb0-dolVf&@C3pGSRU9w!wXS@GB}{32MCwXvC_V5ek(&IjhK=)(GzlEp-s5&f7qlSW z0>Zb7XV%$0Glc9Kj%<)_8(c1u{ie6LPDAWN@-;Fl{ntLxez)?$_GXbnKI#5wh-;pN zw8Z05R3w)Dy|U@9t-mHfL6ct+b84+2Zw%s~j^XQ;{(=nt{_yGB+y}J22s6=z@7y6$!%TY$y^6k zU+1%M=6$}-KVT#;3=H_6K9eJU{`x1p42rpu@Z;hHaj!ZXM|2L0yjr&mGcIUJ-bzAA z3!w^D%4)Oeg1q2v&NIH!wPPu^apq#-f}EjB;e9l@b)e+K!@W+hmzUPgR`!xs8X&N7 z@xTIU^zN&+pOo#4Z^dhhb8v(g6U|VT;HLed0^-HZalo^;EkKVsB_VsrY!9uF^J3!I z_>AQ~K|30DXdMjLNOY3OmB~dqCiX{Y!+6+1?(O1){TH=1i2v828+X8vpA`kz0UmR#|{nqc(zNYhl>4|9BRlh-aq<;HBJW0H3{5arE z?-wLER&q1!j_a2>z0Kyq?e|-7vI4>3?y-1cT-c?yLPFr{W_GsqqO1-a`B3;p%#6 z%w$w73)>3he(!vmJ|8WQsC*)%WOpK}aio8;BCy+wT$1Q`9O zm&b`mOTY?Xc!)A2>Lu7)O@K;2sV5}^Y}XrcnX_6%-;(MlzHu!CAr#&(xJDSH%83)C zO!wA$%fz|%fY6=Z5H3qOO109jiM1SIxctFJH$tkz6ivU6>%)rVCviJxLjApJL%y(p z@ndV^D=<=Z_oG92tE>)9^|$57jKQj1`8u@?CL;9Mh`U{yIz>JvfDqw%P`YNo6W7Nk zdl_vj?YyeMs)njhf=IXq_}2Kg_@?+)8K1ZnxJ~32n}eJ2)*aVr*R|H+*Ui=e>x}CH zBO9n9DX#rX2E4`{1|25Y=N_)Hx(ylkfB21=YFp~CS9#YuR=if8SDsf9)B*TglU3*CdvkqIcU~>7AL>|nNohUBlsg$XuDPbT25C{x!XlYpB?&V(L z-ryeNUg93*-qgIPbXMcV=CR5g&#K6@%EHgg$Xd_r$b8O3$PCRI&ZNpjnPQtlnv!9h zO+LJCWluehXk)GZsE)55Ur|sISrJ+hTajaxYgK9$WYuVuX%$g1p}LcPntrKurgfsV zqjj!zxOTL*ySB5oSMEgUOz1#JmA{a@^?|;aFktg2x)*&7aV6`GU?tEMeoNNw<9(s7 zf0wzsft?m|XRs^meV#qBRLk5&Z+9cY6~L3NHtGJ;mb@3tvtk(f9N{gNf!`W}J5?7Z zm;XK7mY5wZYN0)ol$&zvc%e`u@fDFLf<5y&8iDZTC)6TX|A)B_{{iX=!#ST@+iiQE z&yPr+X!f6i={4GOP+?*UMFP-fyaEc2n70FO1-8w32p+$_!r3De!g&ALLL-oo_(+_G z_F-6Y#I5Mxt z`rvQ{$H2)K#eOK6b?B8icHeg9fqBFUrdOjaNc4}LAMM3;{$fv=^@YA<-d|xxA^_8w z#~#ZEnJXg&=5S^>Ao=?N{;?Df23KkdY)-zyhYEAJD+?YZ4Y|DEaR;;9y^u!qM`R!L zR{tqD0hyeSjD@PbVz(CCMp`J|F;lRNc{c&m^FV(NXP7IvCwhAt-On!+x}S8!v0?QK zr(sg(DSG|#reW1*@U~@c;kKo}aD?2_J;`j-ep&UuwR$40WnlQACsFeeYfi2A^mwtC zbPaDMMi16nVf}-jcnzFuu1x^v%&9;AvDUU_J3(tm^@r*rx`5Lo+U@c0*T}~$|23T7 zS<&9H-x_+I-fnuCUa^1rVgzppp9u4Q;GDnf6`b*dv(3ZzubEX3&^{L5KD~A8wfTNv zV8Q#!Z;!Bv{6h8$YfrWL(L1mkenYI~qucy)fY%XVTd$o^L&og`sL=1zMFDSs-7KfS zi!=5Wh+3s$&bJ-mk*Xcr-GAxhyDaDvQQ@<{-F%CGC^dL=j`tSiAA0P&-EphXOLqI= zivJ0?t?2pnQNRb^J&Nd~?@tg6QAub3@tj-0-RU6Nq4aWd%QE z=P%L!Lwx2b`eUi)2;HhhbP`6 z#VfLV0N`U!ehw_t99wVAF?KKIm&=%26n=zG(H;JT?jrq%fY8=Ku7!V-E{aJQ2PH4W70NxDznVC)^Kz+o)K!zKpQ%Szx<46)IfOGCN zAj|yQmD_DgFN!nDmFg3#J%%pA3%?Is^-ax-{m_rOcauB+vk(bw9g$YlhY}qoynLZG zq1&F6#^Jk)?p9w_TGv2ip#O@(nS%KC#cu>_zgioTJ;Hnuatwzi%Y=c;UnAdjlGEX5 z*~ExkP#sW7OsBlE9ukIirPKvk2kzQWNmr>A!xPvNXf41Jo)bS2Vy?Sar$oGc9a8YYC-*3o2;oArelcn$I;~da(5{Tt9aNY30dt{UAV( zDYaN%v{Z>MbKuCMTufRMwkgu9YF4XJTrXWNw^=-05We$llC&vlR*zh?wG3a=J! zyjSrm>sr)a5ICu_)HnUjDwUQmt6WTHI)+@NQ}&CuG;2YrDaW(KGu5-jGnPlROT9~h z(6HOqmh;`b+wcPLP>##-{NBU#K>XHCpkrYPyrXQ2T)VULCPS#ky8F^pC@3B4wolXCgDFk8CVOUpUNzip^!# z(y``H8`&80x-4i2e!)-*>}d&mEoM3bjIHldzgj2W#$)G zn1S~U(aTLWQJhNTucyp#l${!ZbHs0xyr6cA_Zsm5`(FR_DCH9-Vu(#F88LMX=2OpR zVoFIJrQ7GY_Vh^XRNbOk9(&#w`Sop4WPkCq%df;x_e`P_MZOTKT`3POPc5%6{Dgd2 z{1n++*-FzNrVXa;r>Unkrpc$B71~PXl+QJf%Kp(mR9;u!SzlS-Ti={rpWP5#5!@5p zWL~kmPq{O?GkS>GWiRh7b{2CUOu8d=+H{I-#cVNd5p4-<`ECVlvpSiD{~8WYjVqSD{zZ+3w4Wanev+P8uZ%mn)6!oTJoCpS_M9|`3U4(AVJW( zvAWf|o4fD3<-3ja74-G>{XjoJ%pge+4(Jnz9fS-kxgD;ORcQ1D?_bv~KkBCo*cZko4 z4|8^zZqy$SpAMg~pHrXIAD17OpE)5dPi{{S5VS|M=U9lsBmN8U1^Xoxvtw7y``3F& zQqY{RMbUR!!lWtb@HuT7Re0*aF9bL&7w+G0JU}!;TTbo zJa;L9>PfP)bb+Iug;My53V(TVIy0KtcDDuWjjqI>bI)?09eJWpZYPp1Q9MFf#Z$k4 z6{;s6UBcUCb<3(1mn$+BY)*(=dOS7wtFx8x7jG;R#o0^5ImFl+!&2t7EUTJ?s{)ra z>1I8xiq<|r^Bg+(RdO@MPz1=LyRuNV0)}NZ3#%rSH8Y!xW|@k4R11kF&-0|E(yZll z97B2Ww0>DEV_db~wc7hi3_556Sbr%8#b{tf5)dM1WNHnlFhkNrfudN{xmc5}_q*0= zx%v|t4zEhNre~RAubNuPi7Y!S#~$N${j@8IjzQEKQ$a-;HlfZEg&^5be_F^{!vtcVaL> z^HTa-&`L}LkCFl*3cIGAzo++aAV%FuHQN;sp6+&P1#bnn6y*$!;0poD0)jl;)F(!G#tiwy^azVT z-`Gr>*|jr*%@7(IG>z_Ao-+a`3=broqPY7q&8C=v8L9^#8-{djt67)R@h2FVdoEc{ zCjt+?-L!hl@!4c^Wc)UoAyoM-&WtV#rwzaUDe^aApzd$}z@k2wzP`SlKE=MeKB>Or zz6b+Vdm(!%drf;&dniPhy|TTPJ+KzgD(Ft_&aOeshiQ$RjRpvo9Kp>ep)d&|%~L5g zEp;hnULaZERzW`@q(wnNMnQ`H5{)E>lpJ~xf)$h!loG5KvJ|uw3J7ivaSgE)c@_y3 zmJqqiQ_dTjf&5bb6O?6$r`9jdg)Seg9iknIG(b4;cV}zIcSmSvXJ>W?amQi@cV~5{ z{Ob2r!d3m%*j4(~(^c`+($$o`jl19DuSfG%0dLw3906k6h`2B|VKV8#Fj3Mn$#Kb3 zNy9>sLZ?FVIbJ0^+^@IaolTsrou!?{8(Db- z+sWJc+IiXq+G*N3+S#=5H;MVb^W#;;W=8x$b18UqjB?!&=qa##+SM$y(c5-CFZ%JCu-SB2+I|Prb^bnR%9VmP(LBkWG-48JC$&ub@U*k%*MY zF}gphI@&afGCDbGGpal4GYUI8Fls!CF&YA11^)%_C-LvgLzFyelcWo^3bl&Xiq}eb ziXxQxm3@?7l>?Oql*p8gl`xd%mDrRTlvT^%$|%ZA%aY4D%I?bK%Bsq;%GMU%7V;Km zReZ`xTmXwnSo0T+34nR%R08J;=XK|2=Mra!c3`_*yPv1Mr>Li_r&2pTKd)|VrgQT99hm{ra~rQCa!|`_@6Wl%0!xx#?kMlJZ9}Co@UZ) zuxtaY1+06l^Q`-6W3n!0hQ*W(d=0b>fCeaFSp!1@T?3QT4<{}sdMDEPIPS!@xV9wB z1`kaIwej>-4L~BpNb@L`DX&?FiI>T<$+IbfS*V$Wi3D)iw8Uh*9&@#A6|(wwwP+P{ zb#c{YHF}j{m2j1Rwf(H`EdI>FN+RXz%e5-_GM+cCBd%$LhkOBC2xrOT^umA5L9VhL zN2h(x*O(T{<58NJns_O^M0y&h#>9Ejs<=BPm1{(WK1QQeU>ONo*Vunz zO#NpG%}<9b`5a45E2rFNcLevIsCPLMkQ-`0qW$TDPIw9qF3)HeRJf8N#0~$App6)B z*fwkk7W$TUWa&D3TIwDp7^~2fgLvJx@m!n`dJ>$jIpe(I?MSNh9;ZHlgaIk=@7#}d>fyg~Mj?MzDqN8f(CkqIboAj3S}K#LmWn2Vw`f^ zeB8XDUf}1{d0LUFpDAQ?SMG#F)8n)C$)Rk{L|PLfksjtOXbcDY^hygwD{79J{+U6U zzcWJ`pJ*mulTs_$K6(GmUxZ!bt+iQYc5DPQ6&#G0LLBAmVb@dSsfQ79;OJw4`6k7z zMBLxFak()e)KfPZj#{xK#1d1Y0s+II{=EiAf>*G!Br+GbSxd1L!%q5LN@i2EVYrLI#Tm@q!$>qU- z7j6AJbotVZY0U;*@K*q}40)zF#FqtTc0YjQrk0a)W+357NX4s*(JO6XG9vd?yZuU) zeyVkFcUwA}dQ!))i+=Zu`pd!R4lMnqyC?{~B*R6BdMg}T(~HiKR%EuO4WfR%gKW1Q zr-no6DJKqz3QjA^m@lFr+_kxXVKEZ9(O6Z7%jr1|Dlt5rZP8s^PnIh!q;6zxyBrN< zZYYayHTP4D>@lZkMz zApf30w<+9INiWn_QW6rXqETY8F;2gh#uxRh&+-l|{1N)3AWM2D zbT^Gnuej%&|5MDlhf|gPe|(};E{RD=%1IZM%HC`5eJ=l@bd#uDN`2RpEx1Y>O$HZ5L0W zlFCtu3l`%qQ;ILVV|n0$&aIn1(@*@|8D^~gdpG^+L3I4;z`0I34GveNMnm!5K~Zf~o`3syJfEQ7Cq)jh$?r`{{kGQ90f zv1NDI;^x?}k=>Ikm$gmz*O0_FMc|)(?ekPOrDZuE%E{ljb)i@On}(j~HvdO9zijQy z8Qqx^GqR=5%|bKo65VVT|6t~}X(@_Vo4tBUC`Y;K&a<5&2KSW;ATC}T`B)g`H}fQ)}m{1K3PHbi470@o*#%4-v8q0b+wyk zE8GHIbgXZzwCP-|ptk<>gnV0zsn3#_w)dMwwlA-lUAb76Zs$g?j!!}bm>}BTz4*Myz!Y^Zvz@{c^9VQ(Y)Ds z&dWC^I9Fj(L_mvv-l&>gH(Tb++KA5IDl(LPr+Ag=swrA1+o~96(7vsz_t?vanH6&q ztf!>wzuuPd=J^`^*LRm#81Q~+mX#Xo5B;V7x-?Jg+91^#z1b-4opY0N>yG4|W0L-y zyLI&8!Rx1VqK|8C_4lYeH8na)(>oyJKMCowu{Q-e_8E#)z^+7DyNN}y32IuphBjgM z2RdHWww|e2nvgI>%fRr}8?K<>T77-rfQFM(>of1L?eXW%y^7!CYZ5l?(Ik~W_Vk&R ziL?t;6sLG@H(%9UxT#Afuc(jhR?U+U7F}owZLf^^sFEM7{(hIrtKyEF?N1F}=y?>L ztWSBpEFTGFAl_~Y1t=-c|=wNGW0PdSfLN?<;2Za=)=JY!yV?(jWe7CDo?o9`jG-e=7JX+}1j2v}ot94_^!p8<2fHvP1dy_>=P%*%y5n z`GdvocFU9f<2(#U0L2o$@0>;zj@>c!{o=mJE`^5^{xbM3xvEqSN;sxP87nD|^4A&b642aGJB_yTSDHJFVwhWv?nA z-WQ*yTRUYAc-^y2FIe;D-Y@5DQqC`kyf-^zrE`#cx}{xm$cY!Gh7C<|di9>kMj}ybK)~Ltdv~^1SDU2e8!Ua-6A)zi`=&K7 z7R)QKF*mxH_M-W&nebw1b#=edhXTWgYw26Nqm!@pq=w4t7d!P_+*x7!VM>={z|koI zGm~0tmIc)ByeVl-3TRKZ4v7AEw}0^*t!BSB7PVKVzV;n{uIJfn!^dyGPgpo`uwjEi z|LUhEPmkOUtPiaJ-64K{fBoRg!7)w!vOX7`KPt()`fLaY^mldM^r`6U;-w_daAE-^ z_*AqPQ1${LCw34B7<&Oi6fV#VF0w2w;5bgeip2tkVg#&&{q!#h_7X|mX_mGZaEQfk zX@QtQ*!#0tgw3o-z|yRMWheo|d@^$gn<;4<9F2>tL>ftqaCAmQON(L_J8++Zuo4`F z6*Jh(uoSk7SP?F>LZJ}bY1}EAa}YQP{-+|z--`70^{hhuT=nd}adp$P^xWzyU^t1M zt-XL2;J!Kt^uF=+4a9FK!8dx#eDLm3B&~|eCieO)BVo)~F-LR8W~?bIF{TkRrYSQM z%8Xvja;Ap=y9W+n=D9J@)nCtSqjR9EiR*gb4X%1CTz%XFJ#Z+>&`?SK@9_vp;_5;V zCs?bG>^hNHYjXChy?d5lTacU0&B(lSI(fYP-o(_nn4b<>C`HR>AdCUrJFQYJ(3hsW!_z3mdT-%#5_GS|a;^4I5Qdt|!(sF+bw zck)F@hBAtc*m5ZR?fURB4&nRi8nW4r9PP^IQ(mu`^g4Hs;o!Qcg29Lnhaxf-7}qol zf?X&^VPS;+f3DURXKbw;(_MYkcYXi6h=vR2b&pw&AE&DbU)2pF#-L|m(a~c+gr)>*=GD&y7N%_5w#QOE7m1W6GUVcb9G+?saV6%NjGRsRFyy{HHV1)|{EwcycnjJ?us8 zh~)h-mVO#5%b#?__4vuvlq8Brx}VXlDWB01rQl~cza%nG{lR8QRuPw{YI|zr)<<4z z_d0gO8+Pn{R;yh9P)@NZzHekhN5b5u?{=%lkEGN^tu{^Jnu;Y4tZL=Esk+z+tnlKkg>`So%O@B)uUg9jQg@{`pie0^`n-B$XGm_xZ)bCA3yt8 z?32n;#j3KoZLLmK*EGkqd|PEY^>}Gd$grOCWA$8y#Zp>&&MI%6M~`n+yBa6zTJZft z>$&CLb}^T-HGLn=%P3lC7a5$b@v_w*Y>#r6N?ptPjPjJe;U`*|jb(Bfr4#y8pJk7B za@NgV#}t^+%U8v|?3$Ome$tIDr7f*X!xARnk8$W5R`}5NdAUX3#BE*Xrb*TI8noSE z+hunyHx@_btZU6%hri(Ky6KyU{=MNNRIXfCNU=J2@XG^9C}iXQNk*!(m&~;KhSOy# z=Z}`VyNC%?l53Z<>>cr&_WksgPHUHWT?&mU+u3%0fibvbh4{O=Daqs2L`dO3f4#&M zUb;Aj6G;E{*NYYjK9vMM|JZ265jI32!p3nzzD>eO@Jbdz^&OfkX`d+S5oRByAFgh=DIX5raV@ zA_l_}^Mz(ac&70B%!tLr_+mH`|=R{&L5kpK7bA!W|kMD<9SpGbqDOQNLtV6CdUM6W`+^`3py97VP2(U4L zjRkBRU=sp15nvMoHVJ8y0L;ToA#~t1o3sHsB!CXQo|1I|9eDncet-@Mse{IMF262~ z*A3D}>cBTJ;797f!w&e7I%s^KlW|EMn4+W~4d|c&9WftgIi!0R|^19Tuj2WB2w7tn#%HNp?Cv7`;qfmuQN0Xi5!2i|d# zbpaiiGo&A&1MfiyKNiq|_Y9;Tpabv2NIyUa-v5z)fDXJrCH(*$n1h5L2k77c9UP#8 z19WhJ4!kcW;{rN3KnG5RkaYnanA3zG<{N1PbYO;(et-_lRnia8fmuuX0Xp#hkMP51 z1JVZQz?>%i039Mg2WBl<7tn$CT%;eM12dlR1I~Ulz;hblIo^l??EyN(fDXKGBKrd9 zz6lXf$;vGY>(7|C{hOkc#Z&`BY@`!;5h<#jsTt`d=@AA4DcM`Tn6a}=%9h|0CFVc#iOyn1~DT9N~Nk=?Ba!A)o``Il{RrvOPctz;o#X z8KN%0bDSgt`~V#Q&v7yhs0++15ugL$IRbc&0G=a&=Lq0A&O(v>19*-Ao+F&EBd#C7 zb0h}F1K>FVc#Z&`OY>nwT;P0;0G=a&=Lk5TBY@`!IG-~B&!stOe*dI7OVUQ_U;v&o z!1;Jjcm!pgr + Everyone is permitted to copy and distribute verbatim copies + of this license document, but changing it is not allowed. + + + This version of the GNU Lesser General Public License incorporates +the terms and conditions of version 3 of the GNU General Public +License, supplemented by the additional permissions listed below. + + 0. Additional Definitions. + + As used herein, "this License" refers to version 3 of the GNU Lesser +General Public License, and the "GNU GPL" refers to version 3 of the GNU +General Public License. + + "The Library" refers to a covered work governed by this License, +other than an Application or a Combined Work as defined below. + + An "Application" is any work that makes use of an interface provided +by the Library, but which is not otherwise based on the Library. +Defining a subclass of a class defined by the Library is deemed a mode +of using an interface provided by the Library. + + A "Combined Work" is a work produced by combining or linking an +Application with the Library. The particular version of the Library +with which the Combined Work was made is also called the "Linked +Version". + + The "Minimal Corresponding Source" for a Combined Work means the +Corresponding Source for the Combined Work, excluding any source code +for portions of the Combined Work that, considered in isolation, are +based on the Application, and not on the Linked Version. + + The "Corresponding Application Code" for a Combined Work means the +object code and/or source code for the Application, including any data +and utility programs needed for reproducing the Combined Work from the +Application, but excluding the System Libraries of the Combined Work. + + 1. Exception to Section 3 of the GNU GPL. + + You may convey a covered work under sections 3 and 4 of this License +without being bound by section 3 of the GNU GPL. + + 2. Conveying Modified Versions. + + If you modify a copy of the Library, and, in your modifications, a +facility refers to a function or data to be supplied by an Application +that uses the facility (other than as an argument passed when the +facility is invoked), then you may convey a copy of the modified +version: + + a) under this License, provided that you make a good faith effort to + ensure that, in the event an Application does not supply the + function or data, the facility still operates, and performs + whatever part of its purpose remains meaningful, or + + b) under the GNU GPL, with none of the additional permissions of + this License applicable to that copy. + + 3. Object Code Incorporating Material from Library Header Files. + + The object code form of an Application may incorporate material from +a header file that is part of the Library. You may convey such object +code under terms of your choice, provided that, if the incorporated +material is not limited to numerical parameters, data structure +layouts and accessors, or small macros, inline functions and templates +(ten or fewer lines in length), you do both of the following: + + a) Give prominent notice with each copy of the object code that the + Library is used in it and that the Library and its use are + covered by this License. + + b) Accompany the object code with a copy of the GNU GPL and this license + document. + + 4. Combined Works. + + You may convey a Combined Work under terms of your choice that, +taken together, effectively do not restrict modification of the +portions of the Library contained in the Combined Work and reverse +engineering for debugging such modifications, if you also do each of +the following: + + a) Give prominent notice with each copy of the Combined Work that + the Library is used in it and that the Library and its use are + covered by this License. + + b) Accompany the Combined Work with a copy of the GNU GPL and this license + document. + + c) For a Combined Work that displays copyright notices during + execution, include the copyright notice for the Library among + these notices, as well as a reference directing the user to the + copies of the GNU GPL and this license document. + + d) Do one of the following: + + 0) Convey the Minimal Corresponding Source under the terms of this + License, and the Corresponding Application Code in a form + suitable for, and under terms that permit, the user to + recombine or relink the Application with a modified version of + the Linked Version to produce a modified Combined Work, in the + manner specified by section 6 of the GNU GPL for conveying + Corresponding Source. + + 1) Use a suitable shared library mechanism for linking with the + Library. A suitable mechanism is one that (a) uses at run time + a copy of the Library already present on the user's computer + system, and (b) will operate properly with a modified version + of the Library that is interface-compatible with the Linked + Version. + + e) Provide Installation Information, but only if you would otherwise + be required to provide such information under section 6 of the + GNU GPL, and only to the extent that such information is + necessary to install and execute a modified version of the + Combined Work produced by recombining or relinking the + Application with a modified version of the Linked Version. (If + you use option 4d0, the Installation Information must accompany + the Minimal Corresponding Source and Corresponding Application + Code. If you use option 4d1, you must provide the Installation + Information in the manner specified by section 6 of the GNU GPL + for conveying Corresponding Source.) + + 5. Combined Libraries. + + You may place library facilities that are a work based on the +Library side by side in a single library together with other library +facilities that are not Applications and are not covered by this +License, and convey such a combined library under terms of your +choice, if you do both of the following: + + a) Accompany the combined library with a copy of the same work based + on the Library, uncombined with any other library facilities, + conveyed under the terms of this License. + + b) Give prominent notice with the combined library that part of it + is a work based on the Library, and explaining where to find the + accompanying uncombined form of the same work. + + 6. Revised Versions of the GNU Lesser General Public License. + + The Free Software Foundation may publish revised and/or new versions +of the GNU Lesser General Public License from time to time. Such new +versions will be similar in spirit to the present version, but may +differ in detail to address new problems or concerns. + + Each version is given a distinguishing version number. If the +Library as you received it specifies that a certain numbered version +of the GNU Lesser General Public License "or any later version" +applies to it, you have the option of following the terms and +conditions either of that published version or of any later version +published by the Free Software Foundation. If the Library as you +received it does not specify a version number of the GNU Lesser +General Public License, you may choose any version of the GNU Lesser +General Public License ever published by the Free Software Foundation. + + If the Library as you received it specifies that a proxy can decide +whether future versions of the GNU Lesser General Public License shall +apply, that proxy's public statement of acceptance of any version is +permanent authorization for you to choose that version for the +Library. \ No newline at end of file diff --git a/LAB3/ip/axi4-stream-spi-master/hdl/spi_master_lightweight/rtl/lw_spi_master.vhd b/LAB3/ip/axi4-stream-spi-master/hdl/spi_master_lightweight/rtl/lw_spi_master.vhd new file mode 100644 index 0000000..d6b5a6a --- /dev/null +++ b/LAB3/ip/axi4-stream-spi-master/hdl/spi_master_lightweight/rtl/lw_spi_master.vhd @@ -0,0 +1,263 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; + +entity lw_spi_master is +generic ( + c_clkfreq : integer := 50_000_000; + c_sclkfreq : integer := 5_000_000; + c_cpol : std_logic := '0'; + c_cpha : std_logic := '0' +); +Port ( + clk_i : in STD_LOGIC; + rst_i : in STD_LOGIC; + en_i : in STD_LOGIC; + mosi_data_i : in STD_LOGIC_VECTOR (7 downto 0); + miso_data_o : out STD_LOGIC_VECTOR (7 downto 0); + data_ready_o : out STD_LOGIC; + cs_o : out STD_LOGIC; + sclk_o : out STD_LOGIC; + mosi_o : out STD_LOGIC; + miso_i : in STD_LOGIC +); +end lw_spi_master; + +architecture Behavioral of lw_spi_master is + +signal write_reg : std_logic_vector (7 downto 0) := (others => '0'); +signal read_reg : std_logic_vector (7 downto 0) := (others => '0'); + +signal sclk_en : std_logic := '0'; +signal sclk : std_logic := '0'; +signal sclk_prev : std_logic := '0'; +signal sclk_rise : std_logic := '0'; +signal sclk_fall : std_logic := '0'; + +signal pol_phase : std_logic_vector (1 downto 0) := (others => '0'); +signal mosi_en : std_logic := '0'; +signal miso_en : std_logic := '0'; + +constant c_edgecntrlimdiv2 : integer := c_clkfreq/(c_sclkfreq*2); +signal edgecntr : integer range 0 to c_edgecntrlimdiv2 := 0; + +signal cntr : integer range 0 to 15 := 0; + +type states is (S_IDLE, S_TRANSFER); +signal state : states := S_IDLE; + +-------------------------------------------------------------------------------- +-------------------------------------------------------------------------------- +-------------------------------------------------------------------------------- +begin + +pol_phase <= c_cpol & c_cpha; + +P_SAMPLE_EN : process (pol_phase, sclk_fall, sclk_rise) begin + + case pol_phase is + + when "00" => + + mosi_en <= sclk_fall; + miso_en <= sclk_rise; + + when "01" => + + mosi_en <= sclk_rise; + miso_en <= sclk_fall; + + when "10" => + + mosi_en <= sclk_rise; + miso_en <= sclk_fall; + + when "11" => + + mosi_en <= sclk_fall; + miso_en <= sclk_rise; + + when others => + + end case; + +end process; + +P_RISEFALL_DETECT : process (sclk, sclk_prev) begin + + if (sclk = '1' and sclk_prev = '0') then + sclk_rise <= '1'; + else + sclk_rise <= '0'; + end if; + + if (sclk = '0' and sclk_prev = '1') then + sclk_fall <= '1'; + else + sclk_fall <= '0'; + end if; + +end process; + + +P_MAIN : process (clk_i) begin +if (rising_edge(clk_i)) then + + if rst_i = '1' then + + cs_o <= '1'; + mosi_o <= '0'; + data_ready_o <= '0'; + sclk_en <= '0'; + + else + sclk_prev <= sclk; + + case state is + + when S_IDLE => + + cs_o <= '1'; + mosi_o <= '0'; + data_ready_o <= '0'; + sclk_en <= '0'; + cntr <= 0; + + if (c_cpol = '0') then + sclk_o <= '0'; + else + sclk_o <= '1'; + end if; + + if (en_i = '1') then + state <= S_TRANSFER; + sclk_en <= '1'; + write_reg <= mosi_data_i; + mosi_o <= mosi_data_i(7); + read_reg <= x"00"; + end if; + + when S_TRANSFER => + + cs_o <= '0'; + mosi_o <= write_reg(7); + + + if (c_cpha = '1') then + + if (cntr = 0) then + sclk_o <= sclk; + if (miso_en = '1') then + read_reg(0) <= miso_i; + read_reg(7 downto 1) <= read_reg(6 downto 0); + cntr <= cntr + 1; + end if; + elsif (cntr = 8) then + data_ready_o <= '1'; + miso_data_o <= read_reg; + if (mosi_en = '1') then + data_ready_o <= '0'; + if (en_i = '1') then + write_reg <= mosi_data_i; + mosi_o <= mosi_data_i(7); + sclk_o <= sclk; + cntr <= 0; + else + state <= S_IDLE; + cs_o <= '1'; + end if; + end if; + elsif (cntr = 9) then + if (miso_en = '1') then + state <= S_IDLE; + cs_o <= '1'; + end if; + else + sclk_o <= sclk; + if (miso_en = '1') then + read_reg(0) <= miso_i; + read_reg(7 downto 1) <= read_reg(6 downto 0); + cntr <= cntr + 1; + end if; + if (mosi_en = '1') then + mosi_o <= write_reg(7); + write_reg(7 downto 1) <= write_reg(6 downto 0); + end if; + end if; + + else -- c_cpha = '0' + + if (cntr = 0) then + sclk_o <= sclk; + if (miso_en = '1') then + read_reg(0) <= miso_i; + read_reg(7 downto 1) <= read_reg(6 downto 0); + cntr <= cntr + 1; + end if; + elsif (cntr = 8) then + + data_ready_o <= '1'; + miso_data_o <= read_reg; + sclk_o <= sclk; + if (mosi_en = '1') then + data_ready_o <= '0'; + if (en_i = '1') then + write_reg <= mosi_data_i; + mosi_o <= mosi_data_i(7); + cntr <= 0; + else + cntr <= cntr + 1; + end if; + if (miso_en = '1') then + state <= S_IDLE; + cs_o <= '1'; + end if; + end if; + elsif (cntr = 9) then + if (miso_en = '1') then + state <= S_IDLE; + cs_o <= '1'; + end if; + else + sclk_o <= sclk; + if (miso_en = '1') then + read_reg(0) <= miso_i; + read_reg(7 downto 1) <= read_reg(6 downto 0); + cntr <= cntr + 1; + end if; + if (mosi_en = '1') then + write_reg(7 downto 1) <= write_reg(6 downto 0); + end if; + end if; + + end if; + + end case; + end if; + +end if; +end process; + +P_SCLK_GEN : process (clk_i) begin +if (rising_edge(clk_i)) then + + if (sclk_en = '1') then + if edgecntr = c_edgecntrlimdiv2-1 then + sclk <= not sclk; + edgecntr <= 0; + else + edgecntr <= edgecntr + 1; + end if; + else + edgecntr <= 0; + if (c_cpol = '0') then + sclk <= '0'; + else + sclk <= '1'; + end if; + end if; + +end if; +end process; + +end Behavioral; \ No newline at end of file diff --git a/LAB3/ip/axi4-stream-spi-master/hdl/spi_master_lightweight/rtl/spi_master.vhd b/LAB3/ip/axi4-stream-spi-master/hdl/spi_master_lightweight/rtl/spi_master.vhd new file mode 100644 index 0000000..6eb9ce2 --- /dev/null +++ b/LAB3/ip/axi4-stream-spi-master/hdl/spi_master_lightweight/rtl/spi_master.vhd @@ -0,0 +1,315 @@ +-------------------------------------------------------------------------------- +-- AUTHOR: MEHMET BURAK AYKENAR +-- CREATED: 09.12.2019 +-- REVISION DATE: 09.12.2019 +-- +-------------------------------------------------------------------------------- +-- DESCRIPTION: +-- This module implements master part of SPI communication interface and can be used to any SPI slave IC. + +-- In order to read from a slave IC, mosi_data_i input signal should be assigned to desired value and en_i signal should be high. +-- In order to write to a slave IC, en_i input signal should be high. +-- data_ready_o output signal has the logic high value for one clock cycle as read or/and write operation finished. miso_data_o output signal +-- has the data read from slave IC. +-- In order to read or/and write consecutively, en_i signal should be kept high. To end the transaction, en_i input signal should be assigned to zero +-- when data_ready_o output signal gets high. +-------------------------------------------------------------------------------- +-- Limitation/Assumption: In order to use this module properly, the ratio of (c_clkfreq / c_sclkFreq) should be equal to 8 or more. +-- For higher SCLK frequencies are possible but more elaboration is needed. +-- Notes: c_cpol and c_cpha parameters are clock polarity and clock phase, respectively. +-------------------------------------------------------------------------------- +-- VHDL DIALECT: VHDL '93 +-- +-------------------------------------------------------------------------------- +-- PROJECT : General purpose +-- BOARD : General purpose +-- ENTITY : spi_master +-------------------------------------------------------------------- +-- FILE : spi_master.vhd +-------------------------------------------------------------------------------- +-- REVISION HISTORY: +-- REVISION DATE AUTHOR COMMENT +-- -------- ---------- ------------ ----------- +-- 1.0 19.12.2019 M.B.AYKENAR INITIAL REVISION +-------------------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; + +entity spi_master is +generic ( + c_clkfreq : integer := 50_000_000; + c_sclkfreq : integer := 1_000_000; + c_cpol : std_logic := '0'; + c_cpha : std_logic := '0' +); +Port ( + clk_i : in STD_LOGIC; + en_i : in STD_LOGIC; + mosi_data_i : in STD_LOGIC_VECTOR (7 downto 0); + miso_data_o : out STD_LOGIC_VECTOR (7 downto 0); + data_ready_o : out STD_LOGIC; + cs_o : out STD_LOGIC; + sclk_o : out STD_LOGIC; + mosi_o : out STD_LOGIC; + miso_i : in STD_LOGIC +); +end spi_master; + +architecture Behavioral of spi_master is + +-------------------------------------------------------------------------------- +-- CONSTANTS +constant c_edgecntrlimdiv2 : integer := c_clkfreq/(c_sclkfreq*2); + +-------------------------------------------------------------------------------- +-- INTERNAL SIGNALS +signal write_reg : std_logic_vector (7 downto 0) := (others => '0'); +signal read_reg : std_logic_vector (7 downto 0) := (others => '0'); + +signal sclk_en : std_logic := '0'; +signal sclk : std_logic := '0'; +signal sclk_prev : std_logic := '0'; +signal sclk_rise : std_logic := '0'; +signal sclk_fall : std_logic := '0'; + +signal pol_phase : std_logic_vector (1 downto 0) := (others => '0'); +signal mosi_en : std_logic := '0'; +signal miso_en : std_logic := '0'; +signal once : std_logic := '0'; + +signal edgecntr : integer range 0 to c_edgecntrlimdiv2 := 0; + +signal cntr : integer range 0 to 15 := 0; + +-------------------------------------------------------------------------------- +-- STATE DEFINITIONS +type states is (S_IDLE, S_TRANSFER); +signal state : states := S_IDLE; + +-------------------------------------------------------------------------------- +-------------------------------------------------------------------------------- +-------------------------------------------------------------------------------- +begin + +pol_phase <= c_cpol & c_cpha; + +-------------------------------------------------------------------------------- +-- SAMPLE_EN process assigns mosi_en and miso_en internal signals to sclk_fall or sclk_rise in a combinational logic according to +-- generic parameters of c_cpol and c_cpha via pol_phase signal. +P_SAMPLE_EN : process (pol_phase, sclk_fall, sclk_rise) begin + + case pol_phase is + + when "00" => + + mosi_en <= sclk_fall; + miso_en <= sclk_rise; + + when "01" => + + mosi_en <= sclk_rise; + miso_en <= sclk_fall; + + when "10" => + + mosi_en <= sclk_rise; + miso_en <= sclk_fall; + + when "11" => + + mosi_en <= sclk_fall; + miso_en <= sclk_rise; + + when others => + + end case; + +end process P_SAMPLE_EN; + +-------------------------------------------------------------------------------- +-- RISEFALL_DETECT process assigns sclk_rise and sclk_fall signals in a combinational logic. +P_RISEFALL_DETECT : process (sclk, sclk_prev) begin + + if (sclk = '1' and sclk_prev = '0') then + sclk_rise <= '1'; + else + sclk_rise <= '0'; + end if; + + if (sclk = '0' and sclk_prev = '1') then + sclk_fall <= '1'; + else + sclk_fall <= '0'; + end if; + +end process P_RISEFALL_DETECT; + +-------------------------------------------------------------------------------- +-- In the MAIN process S_IDLE and S_TRANSFER states are implemented. state changes from S_IDLE to S_TRANSFER when en_i input +-- signal has the logic high value. At that cycle, write_reg signal is assigned to mosi_data_i input signal. According to c_cpha generic +-- parameter, the transaction operation changes slightly. This operational difference is well explained in the paper that can be found +-- in Documents folder of the SPI, which is located in SVN server. +P_MAIN : process (clk_i) begin +if (rising_edge(clk_i)) then + + data_ready_o <= '0'; + sclk_prev <= sclk; + + case state is + +-------------------------------------------------------------------------------- + when S_IDLE => + + cs_o <= '1'; + mosi_o <= '0'; + data_ready_o <= '0'; + sclk_en <= '0'; + cntr <= 0; + + if (c_cpol = '0') then + sclk_o <= '0'; + else + sclk_o <= '1'; + end if; + + if (en_i = '1') then + state <= S_TRANSFER; + sclk_en <= '1'; + write_reg <= mosi_data_i; + mosi_o <= mosi_data_i(7); + read_reg <= x"00"; + end if; + +-------------------------------------------------------------------------------- + when S_TRANSFER => + + cs_o <= '0'; + mosi_o <= write_reg(7); + + + if (c_cpha = '1') then + + if (cntr = 0) then + sclk_o <= sclk; + if (miso_en = '1') then + read_reg(0) <= miso_i; + read_reg(7 downto 1) <= read_reg(6 downto 0); + cntr <= cntr + 1; + once <= '1'; + end if; + elsif (cntr = 8) then + if (once = '1') then + data_ready_o <= '1'; + once <= '0'; + end if; + miso_data_o <= read_reg; + if (mosi_en = '1') then + if (en_i = '1') then + write_reg <= mosi_data_i; + mosi_o <= mosi_data_i(7); + sclk_o <= sclk; + cntr <= 0; + else + state <= S_IDLE; + cs_o <= '1'; + end if; + end if; + elsif (cntr = 9) then + if (miso_en = '1') then + state <= S_IDLE; + cs_o <= '1'; + end if; + else + sclk_o <= sclk; + if (miso_en = '1') then + read_reg(0) <= miso_i; + read_reg(7 downto 1) <= read_reg(6 downto 0); + cntr <= cntr + 1; + end if; + if (mosi_en = '1') then + mosi_o <= write_reg(7); + write_reg(7 downto 1) <= write_reg(6 downto 0); + end if; + end if; + + else -- c_cpha = '0' + + if (cntr = 0) then + sclk_o <= sclk; + if (miso_en = '1') then + read_reg(0) <= miso_i; + read_reg(7 downto 1) <= read_reg(6 downto 0); + cntr <= cntr + 1; + once <= '1'; + end if; + elsif (cntr = 8) then + if (once = '1') then + data_ready_o <= '1'; + once <= '0'; + end if; + miso_data_o <= read_reg; + sclk_o <= sclk; + if (mosi_en = '1') then + if (en_i = '1') then + write_reg <= mosi_data_i; + mosi_o <= mosi_data_i(7); + cntr <= 0; + else + cntr <= cntr + 1; + end if; + if (miso_en = '1') then + state <= S_IDLE; + cs_o <= '1'; + end if; + end if; + elsif (cntr = 9) then + if (miso_en = '1') then + state <= S_IDLE; + cs_o <= '1'; + end if; + else + sclk_o <= sclk; + if (miso_en = '1') then + read_reg(0) <= miso_i; + read_reg(7 downto 1) <= read_reg(6 downto 0); + cntr <= cntr + 1; + end if; + if (mosi_en = '1') then + write_reg(7 downto 1) <= write_reg(6 downto 0); + end if; + end if; + + end if; + + end case; + +end if; +end process P_MAIN; + +-------------------------------------------------------------------------------- +-- In the SCLK_GEN process, internal sclk signal is generated if sclk_en signal is '1'. +P_SCLK_GEN : process (clk_i) begin +if (rising_edge(clk_i)) then + + if (sclk_en = '1') then + if edgecntr = c_edgecntrlimdiv2-1 then + sclk <= not sclk; + edgecntr <= 0; + else + edgecntr <= edgecntr + 1; + end if; + else + edgecntr <= 0; + if (c_cpol = '0') then + sclk <= '0'; + else + sclk <= '1'; + end if; + end if; + +end if; +end process P_SCLK_GEN; + +end Behavioral; \ No newline at end of file diff --git a/LAB3/ip/axi4-stream-spi-master/hdl/spi_master_lightweight/sim/Testbench1.PNG b/LAB3/ip/axi4-stream-spi-master/hdl/spi_master_lightweight/sim/Testbench1.PNG new file mode 100644 index 0000000000000000000000000000000000000000..a2036a79799eb11fef18236358787f5222a1a8c0 GIT binary patch literal 69606 zcmd?R2|Sc-+Xt)^$#$2@5+)I)vX^W#Bq@6#$(HOX%D#@uR*6xvC5DtGLWt}kWF4{< zF~&Cb83r?BhWV};+|_+|KlgJ#&-=c=@B4lIDwoUUn)5u)<2;uC@juQ7dOGT?J9&1} z(b2JLL9o=>a9o^Rc9Sp!7#nX!ifG=BIFRGuW%W31C1ism3r=qPwNB0)SOt#z( ze9v_2oUtn%9a}B+-!>_Vvwn+IENR@(JZ)@jQ z-Y&PP-#@{9b=XEubju0RgTt(-1J}y8ol%xKe1`r-Vk6l#o_i$ju)M(hRwi{7wWy2> z*S3XATvxX$ca&i`!J&S;g3{M*h@LUC!%x1>nae3ADZcAf#pk@v0RvZA*C`p`)s*R+ zG96%i8-L`(+0RSFi^Q7Ev(kO4hOaHA|Av&J1I>f!Hy#K_4|pP@+2ciS6NoA7{yXTV zKCtCaXhw!u6DCa<*B@FGL{$V2)#6Fl_u6J_6PVf$?0^Uy4T7)#G*P8P0Dfr)WQ+Wc z8r@GjQ6jY4%67_x$xtjua^L}SQ1)-ot+cyt43&|&>12V)htp=DCoXvr#t6NgQ;kbj zKG{nzMf%Be_U3|UboGs9*($@hwceHDfX%3=-@wp^y+D_Yr5S#tn}L(2U-T=D$68Bh;1&8W#P|2J^r|C zALlQQ=gK4g{Cn?ebOV{VMj~$|!z%V`UB2Ek%_@}D;(1y#YcPX&yW%>*f&YzrE8olI z>6KOUE04GxIiOo*ewIbM_^4)3@Nz>_EuHjOLzDK7NoI`^V)yChv#qdc!&<~piE%Gy z7tf!CC~=h#&%#Fk99GSKhws_SxPpMq!N?mQ$$4`LXyXRXd%K}q-F^##NA9iBr3v^> z+tm+P=?~iiD+JPv_k{*6k}i?uPXPFWqlu@d93%a9QmgSOtkmVwJWw58~ z=wti${TDfYp|S1fc0kO2`5V7MOMjtu%7Ox9H%Y6THM(~gejNXuv!@A@*M2dc@E~kw z-Jiuk$HRn55Bsxz(8=ts(dCrx5hic5|8X(t?1h5hV*ekSz#J!ZtMckcff#4*J1{S} zB!R7KeSzp4zDsa-=;cZqI`FW6{g8s*h8cPpOcNOKi-K}sUf{8n-7oKMsLrO$d~0=u zh0_*0O`I$CF7LM>G=3aS@FP8{ZrON42Iqzdt*t`k+?%V)q2KlZ8+By* z%%d^Sfeoc5dp_-W6B&5=FZvay;{ zl4H+@+aCh2o*nJC8}^p8eXWRzNy@WLklDq7QQwI_JoDs8mf zsq;e9p-GLF(D4z%4mAVe6?MtDBYtzdxlI?RrmDnbK++|+d$>~9>|sTuM$2fMCSgbs zd69at3}ekux2sJMfYl;$_0VNl^x<+nVcV{4X0{q*h~}lcx;YZHh=tIcFQLm3j$d$N7#aB6(UyTQtc~IqKNuaLSoAGOpJ54PtSt z_~IsuL4O1yEo+p{QP3_syQONDrI<~E>r3epy*Dx1sMa6J34Jz}xzc&psBxsDT*E?M zFX!9S%d)m(UAOufkM+-3u)WSK0u&9H&C>ooOIeHtWuGKqJ*5Lm4|-LEMZvpqQB}T3 zML(I~FY1^(pIRE!Ee-tQMxqp!29);Rg~eP=YjW*>VE5r6a4Bq;g!4r!BCj(nKmJh6 z0%Cm?LzS`3RnT*^NqGEo??xns?xz`405hPF#{yOrE3bALW@;kC}yi5IE|LLT+`4r!}kNsQp?lI+?`%RABqGa!bvVDQC<)4qa zvN{p4mVYtrBgyC8Dy8T!x!fg+qX*7l+>>pi6WYb1XL01nQQ^A?7SR(*P+Yk z2`If@T~i`T8bPcOS<$ZYp-TdOmpVgd@o{{!cjec(#&|Ss>3C>M=R8cXjyXhq&0%2C z4?(Nw6VZW2Aw#M6xE;|uAfy^@j`CBe^omNpwlBG?F?A~1hp>-Slj&_HN5*s&)x zW!`c2)}G|63!(4_AEb8KE?+#3<&_}6WOooUBE**`V_T<-**N!yf6(%G$0;na+-ISV zcuE#dRkJD2at$bN^BwAXtyP)$?Fk0P+J=$qa9)waw$$p20g8rOZL38dK@%@g*Ri*O zt{K~*2i$gr!XJ$6MMtMu0k>C4Gx#!h|82P})#}@&d)hdm3`-gla)xO_wFs|@9U|mM zHL<5M9t0*3Mk*}{+`;mz2i|W{^TSp%F4TmH2b0po$uiB3!EleNjKv282wk?#uJ{_= zl}HU>Q5gE8;#;hclnJ$fggQ@jgnP}-UP+O6SE0HXSqUF8odX3ddB42du$8SINL={aSL=wMu5qO58QZh+8ye z8{y6hsTl9_xu?;nB7PH|V7RTZUT*oEvhhm7S~HzY{!$?OD3SAZDBPBKXZ46s=``_< zv}l0F!6_3%(uH^EYR6%y)rx@sIg##V?!b6XtF$H@Az-xS>H81&cj#Jobm6t*mZ!6s z_hv9l$E%Y(`E(nR$HC8F@hh#KVmnA5)NzvfVE-x3sgchqr!AJzb- zY*g;0l>NH^FLL3~KbY)mU?y?>N=62ZG15Gq>Ti8?@$KtEl_(BXE%m+tP-s zKB|hnQ2po~E)>4y_NQxcE(uHXOV;<}1;{hZhKNX$R6G%PvstuxSYEkWMwskI(t8DA zX@@DI?7pQBf9MK=FA5}DvqSp#_oXoZ`md$ANp*2{_o#zMc zN$rH>K2`TcBQFvA?`_d{Vx1~Jdspkj7Diq@Z_^boq+|NGeU)<^uX^&6p_*LZcn@gu zYnB^{_ejxgkE=owNNDpNcwJ`#_c2f&q;7GU+Yyx81A9S?hT`5IV^Y27S&;{NcC$US z>%vXAkEac7vkO)$&&r>0m!IgBoRcHahEASX>}E6 zS(UjD`WgNqtq*J^fx9O2T!?4OZ2R_K|CPvnGxYoGsCfk2ddf1(^y}x_)sj4by)}Q_ z@q~9@$KjUC{UB&1DXDyE8NMZ%!KBzJ8mUAw{o+hUp)aj)oHFRQW3e^Y>WkVoO|(>k zWTQiu$azVi9$c*t^fH3|$D8p+)rq}i{8Eagl622<2t2?WA7ImLf}vAp9YmHICJ_fP zwa^h}NUO^V$Cvf@KJw4w-|?$={qzCSx%U)uiI{2CS|3`77)eh{ztVqt=odq4EHIZ4 z`S{bA5>DRSdWR*BIt>5mtz1v2aaGt`_8$7`KTYQkZ?_vayoEkN@%OjCjCk~}0)-}F zs@Um{cw;K?HS4dVC(yQA?Z+SgJoT3vIbZ*D{!1VAmqh5S8-U1><)bnRUFtslTz(HT z*zGR6*^nwHp?&-JOErGbZ6GT>$@Nn#eglL}VfLHY3M%~&D)z(W0^tBPYM+e>g~#S9 zsqY)O9b zx%*AL9#J(?g0a)WR52Oy^Rg-HbTFytfzIkgonWH;9b2k~o~T6-g6gbLFhcv%eRi{7 zfARLCMYJ9%D0J{q5rw7rqxEu2w=D*cne_G{KwflFnk<8e5;;B<-1XC^|2#0ml%Yrm%(@(0t1DE>J1| zFTZTO^rj)mS2qMcvM=J+)YnW|^0rSpG1vkFwg@%UnNQ%jOm66vr93_H?C+BKd2GP2 zlNuOl;WZ}@RLtD9NFP35`&MW5{m}v_>M_%))Xa2+*Q6RmlaJECvg6Gi8UhLqwTPUH z#!av6k#lUzA8%RAR`I)m|EWBCF^Y&x#AUZ9Epd_+qE_{fJbHAmxd_RgTL^}mT@>xz zd6Ef2`>2awEBmFt^fn!`lVjlrL^JNa@KUWJ@=q)NeO^BNAX+wOhE0S^Czdjuf_PC3 zi9;1eTg@ZiVAd`TQsChWgZ=ns-&~5(`)}sRI?l_>?2zWMyK{s`0_32XE(qmvr5yECJJx2z~@oD2gqHlZwb=#jg8*gFbxP+@l_m1!U4+GZo0AZ3q?3 zR&3|%&|n|S4VC>qf$wu$+Sm&w-JJZvC^Q_z>Wyz@tQo=)S((SWm(rWy0q)k}*iOIa zX1l9xrO4TevLG1f6x|_U7B5*LWg1HUq)R|XL~f;ho;28U{xU%j?4~rV+WX5dR-3Z3 zC&R2LW<3=@YfOI7RQzFU-&VYNCJMwpzPG;NqqSP2fr< zMPP3~E@)-`R6+h&xtSm!GDes38h{Jj)9q!t*L;)dpzG#AH;aGYRPfJM<>!%aZb5%f zXbw`XXpKy1vbaQvCR-Ah7i!#V?=@I1#F`YL+jRASWY_wYErA=J=XH$yms=Xx=aL&{ z>(X9m1h=$2%PCg7q_4*Z2J~(E3Z-k*^<|s=bLrdZ)?eq)opSjHGyKEl0%3v`!lbO) za@oWXKoNmdkBZj(wY`0h`x!+k@c-GuZ@&7|NZ=pvmjAgYP57bamCL-wZVkpRb&2RE z8_$+oF=p?abl%@U&34+fHJx{vddH~HyhTQphHU+vH#&_WKQErzlMZd1$^^{WD>EHF zWoZDwi%tuMKMjd(XH?U!4$Zm8Znhm%x)bcS*Kb0UkoOSttgGIAusG)SbEiQ`L_LGAynpIx2Cc zW$(!ImG`-Gn6B^hcz>$*2j5G*gzY#aU^r1L4SFc>-H&MvPRfljhRQDqiuWNhi$k0y znKX($xs}~F0xo(^^dB5Ec2|nf%bosSHBh?ZO8 z4%2zUEuCg$(-POwwsKxn?uqx{p;s=vSI^$H=aq<$W+?9mkmM=Bn*?Lgev(CARkUWC z3zy<=&?0uz=hJ_PRn&u?M2aq=;@RsWH=Ujz8m*P?w6%?WFu)M(g^9kyX+2$56^*CZ zrIzEDR~H7SDSO3=dp#eV)^U8jEu~SS;L`i^1g516o&@ea;1%#Gfq#ES<HHyZ z3d!^n;jNXgH>t$A*#fE{t45e)KF$P5IRSVc7c@FK7+c^!G;I2FQ1%P8`dhcqHGsz} zQeV9342(9M0W->u^r5cg$1sk%$!>-lCElp*fG5k1rR;j-x+>z_NY`qclXgeu`H_}1;5 zKgN%{G)}*6548jDQT^_C;)Pfs7Vpm#fqjc^_%9G7$bL56b~eqro-ud~*?Ja$pOr6$ z!s&Je!Ds(1ou8^fyh&h>d9!~Rv+4^Ek%cY>j0Oa(7_qWJTKSUZx+sD;x=FzA1I3en zPidyhaIULj`AcYu(OUjW?kIb6aV%%f{(XvUQB~jal{}&MuK%MwS%Z+YIvctr9n~UT z_NvVHwN`@1S%UqPZ3)Jmj)z*+>0*K4_8^1zcCr3ykG~88AHJ@!A-^}G0Jd$n>B89R z00Z~O=pL{zk2lo+djZ9cbm#y4$t+e#@mJgZ++e@=p4@lNZp!R?9`b`(1X2=OP&crE z#W|PdW;IoJ#(v`Woe}82S)9nS|D@I$6yrY{$!#P*O(w+zIjH9}RCN%H#$=I|WX@ zMcrD3cUT&{eoA;=h=DrX*P-oVMRGX_?;IK@;o6EBd;)?HMTm3ty<-a`^C!|qiu@so zsb|A?N+JTVS?F5#fQA0!3#$#3i2>m|44YEp45V5Aj;&I>BlFZe?Zq2^x9FFqV&`L> zjT25&sQKN6SlC4AIux%T3hy=Z4D^Ivx)ej%^Y#!6IM;iTwPdj}cICeAV>=Q_gHs#npkM zARq0@@^Np>$))xgY^U&-2+U~jzzE-BaA2)Hlu(X;XIMlZf1U#xVzoGgpEcPxZ2I1s zX)uM4X6m`R>XgAP4tb_i3u1xe$`t!uM_}_b|KHIAehuU&!kmtN#&Wxg>Ie~Qv~_R- zsnALrvsx&0-#f=KG=$=v^V{MAqXnz67v-qbbP}h{f^Jf@U{1eKDy2$&6jViYRO)HjDlh~JElaA%r3MxlF{7` zxpMBIl_G7c7QTZ?kXg>&u1Ht){pJuZ@H*t>N^$@dC)kV`q=Vu3Z>}&!!cbuT?UDLY z`u2Fw3NDw0DfDO<1bVje)~xN<;>G(j6*HE^&%u;~(zaT;O+ni!Qv=omO;OW0x z`e`>bq)bER>s?Pz*cgH!M4qvyg*goFmVSga*H3aHs?Es(g^_zUcBZ`}2z5EvS*sV* z>DTe42EyOXv`W*(4dVl7T{)(bG}>FW_U=Ys;rS%*ac=L)SFuu|$s^9*C#_o+@*kDk z7Wh>HbMYan3J4F8hgOZo0`cZdUMfYEBol8+z{w_GXQVi$V$A~Of;@RtXlunYMAXs* zo0gqKv?-)fxt}?YBWIA9bknC7K;lk%CG9vq3o9yPS#(`SvURCXVLR=M6y-d}(grz` zIWnmEz1FQ4j;BmnL=UXMw57R0{`6p9qB7;~j@El?9oMdZw+3;z=l*jAZN9cUE^zA- z>I;2R{22y!;S)%1 z9S*gQ)ePVU^0Yq&G{uc5yWpmVso`pU%9t4aja(PfgC)rAd7lTp24)ELYYJ^2oRfQn z!8>>5IKGpVyAxD;4oJDHobAl>*gtxTMmK#U%EbY5>v64lXrYgAOc6C@*{5~t!?Xg7 z8`KC}G}KA|wq(3*5w`EiFc*yGw{Fg+ULnLkM>@}>bFNU~sZAJ3L7z}!S(B=e4%^JFz6qWc~fZ~FasCy8uVSz49b(dMBvWVkDrN3so?vh`V1@WW^poB z&_8PSjSKoV(mM2IyEhPlwBmj++*jv6qIGD>_CXY_Y|jlW#p8wFm!g*6^I0Y`U~9d8 z_7k89zR@Z<*W5#NY&`a7$}-LTtMFe4#f8W5-3|8-t$w$^84&I(%K)W zAb$-m{E-6kKlY@_O+rcQ$^K7RR4o9V$^g(BKzR8(SO5U21N!~V4B3(@&n$njV*lz? zHf#MQ&vF6pixCWMdH>nCp;()am5P|;2f_1sAATfYTSKo=@sJL`8Jr4a_aVgqy)Hm5 zq5$-a?YK9r;QUbt01&7mbYh~?UCRC^LP#K=bsb{XZdl$@7YLYwRHA_ryBEXBO-&d| z-EP4A;Z#6Ix)|uO5p7>Nc@w(CubuNpX*bY`5Rkov>=*k^P_Qaf;24U_OkM?Oqe0Hz`PBa#Jz`U~tqq zXwjo|hk=;8Tr)KwF1$ZVyr!238GbJG%%@aodgA2|ftlSSXYVU(IN1P}lI6FMCeM@Q zz%(eY-6*5Ra!?}2LLEj)j4@!OT7pcj`37nv8zzjEULC+}pEf79J1&f!K=>AChTf~y zMXD)#ws$M>l{X8)JIGjXjAPaf#_OLmum$2zoow~kfpm@vfH+G9!Edszrk(% zkYnYPz47jdFe#!4qG?y}%_kicq~HP!Nq+Z;up;hLizsj&I2HyaK5U`XHA{^}phqVX zk`|o`PLGZDbn2gvXrU~`e-nT1Qwzaw;|hUB@6C>E%;wN_kPmqWg_Y#WK*Rm*Y{*p+ z%S9vJl}k@Bmin`3=5c)4j*J^+#RYhVlm|rA$r0pTXNAa+vqA8BO$=D?(EE_KluLy3 z*h~ObN?<|RnW$3i`6|%CTNq@hO8iXO%Y4UK@d~Sq>sCc;DvVUrYu|3Tto#ZYHGbUR zJG7$Q-$|lr191E=0?6Q|2!00j@gOeD49fNBmuV%r6;Hn?Sviz`c(q~GQiX^GsbU5$ z@PJ~MpSgzHo~yl328(@3sB_gqF+sQ2UZjWtSIW88}f4f>K>D8N(f@DG2_ndpyNVdXSW%m zpm(4V#isi6mV$jZH(|N>8U$&sOc%O3ZPA>joiG}2m-IN++~wYK506bp`d&O)QFeiU4Y#@xRhBqs+EvQ8eR`7CEH2c5ga0(q|{lluSN8e=QyFlP&}$G!8dXl`Isf~+#76Xj_TW? z)J89c3@XO5np)Sz)k1Zn_FEfqr@fRC%bj#0JVj}DBoqDCux6UewE?E>n-~NTCYx44 zD-;3yTCHOkgN6r~n+L4Ad!rLQM+4cx=lJf-xen+H_(IXQzb;L&F35&~o|uWP+T3T7 zweY3b5Nk=fpPDgB;v)?atR=X2hWRT?djYWKsKLE7f_1#`t8f8>C8WAOqptUg)zxm%cK&RR7vC7WsQuP$HhtDe9t)lwA!+ zd&h8t-ihBzF$7W>M$h#{YsB5l#7Ee$bT_C_b@a+Pzrl$vTqx$s=RodjBn(@(`u9ZO zTb^a0;`~RBg_#0GQ&w)JD3#WRwkyGPl9dqG<8I;Ns}zq^F-xSN5#|-DPJSzp8Z=VW zLclIbPS4&NKKfY^c02Hc#^BRsD@=5mdIiWgck%TaE()UBy+n>Pyy!nOU~JnOHh9!8 zYa_6Xd}e^Mo2Y8yOKfB=hH|>JI{>-{pq#P^YiM+(t3JiXk}{#>tkOZ95rFUAg$YOQ zkbC}C%WKutUon_!*n>Z!!y}Xe4D#cx^S&BRy^Ua8%{_7=Y6A_j+X(>$kZ*ghOs0?3 zaay$S`lM9{!P%XRMexqX91K9@zu;2hf-A=o%R|#OJ@E#ZY0)nVE`8{ZQI_OvqJ#Wd zuY2LbXlB^VY(Fk_NHR`Tz;hayWJ-BrSml;3fdf{69e6_a*`y#BT9pk5GqepXwOf0u5 zCrG#p{!vQ8HB`@h@CiChM=3xkf)&N3Ai3-mTLxxzC+*ltD{KPOg8NJz@t!L|yKIN| zJ6BcAydQ~Jh)4LH1(UuanvmpH5~QF--i^1N@qPxB?{Mfq$`$&6KB+jcO)(b+~tw5a&jQ914QF zQ^~M^+vC}x92Od;LPPZI!G;L>q`R!Yqh)kmXgWg5V0RuaQM2Eq0D z70&5Et)FUnP0-0r4fjOReD0&LXb3gdVJxv4SOI>vYHR6yb0&D;Sry#Ib+LUHA>)E;Q`qD9g?}|I$>d|6LDjT9YEurxAZY zGbma~shSy}1y3b%0+p){A1Z`|yVQf3jOdwU>zNp+WG~|jN>>B)d1mJ}{2XJwGp2HD zAS>YYxBQX*LX+{q?{&Jrs9UA%74@!F=Ga&7*>AX2E8wbqE;@C$e4nN5p#~|0_8sHt ziY!XGFmD*Wq{v}hyYqf}hF9%cpF`lU@+&O1lgAa?TZ z9JeY5)0?ZktDdE_PZrN!XP5? zd6}$l`gP3B?lO&wY^p8S1+KTy^-mOw$t0B4B9aZ*zota}eR{P?f)M`ZH@g};#*V2v zC|-px2iOoNN85TYHBR*!b%CJro@e@|51H$`D}m_C;T7h6c#o+I6JPm4ljr5nUtD8p z-#x_!p>Jq(zj{j+ zNHT9B)!+V}fl-0M-wPB!Y{oy7%YsnoK$D)vRg<2eyJ*j(a?_k|isF7?3~KW8!0h0W zK6VbF?GI}ki8X>824|zBt9J80%iMk7l0`105$G?0Qm#6T%~ftGGG0Qno(oFpep>{; z?r*=(p;p%uQvLNR74rCbb8l|>P3)DrRpYR(#Af7E3^>4;%d5>e;PrFT^aB)5I^gyr zpw<+EcTYS=c%}ZW?(3zOY>+Jnzwf!f&@vMVw>0`6+<7_40Rj^fMGfB?4@$=69b2z+Z3v z1zC;;ocz$QHB0}yntoRipro-8J^pVzNv(@5yhDF_q0si^=$xXFSeaEw!OUxS*BTpU zXSkT_C7mbwxk_J**^;?w?8U#U_i_55(dCBerm>RsP36si`?hv8_6!l z@JF(MD(6j<)o;=5>WS-JCV=XpbL78fA}Vjb(1-ZUpG#l=bPa&{q)0iPcq`xW@SltH zp<;bCp+_p;>N4&IhzGj#o8&a}_b&PR@RDoK^+EXV)lDAXuZzGbVMSQWht4ydcd2BK zPM|{$=pG6Vfj25BrIUyB(xIbjBsur*M8rQ6AEiE~QH^?uIQOOS&LvpKpHD=7>A7XG?}oAGSc@ONUP>MCg?i z3VoFto&ACw;MTDZtTi9*FCPii(}75lhD5bvanqhj=q|fs^5<<^;>@FgLbJlOh-At| zUY|=6cWq1WG?o{cKCJ1{P%GU%O~-Pk4=W828);b3pSU2u6bW-B&NWS6b_+Ve1i8c8 zf7)^3GYQe$iBUR|FgS3SokCo@RZ>wI#v~u*dBfwp*GM|Y{grc-J;lfG-2Lm4`(LU6 zl?f_~8h6B09SiWH?DZyApl!%s-U@hD7HM3ns(cZF1wf5d4E=U(fawF;Q1oB$941~I z_lq0ePjWi|&~(T$G+4OkwYZ`bYFs+N`y!O{>S+YL!YA|_VKE>GQDse=C zPw!=@TLm)zZmkx0na%s3PeG?_ULyY1(qTDZJ8)OsIs@=0-rFR8tFqDN@`9opo5z}3 zaW!Zhf0Ee1z+B>sRY}hqXY~me@YVzPXIzeEb1Ome=k`OFp^QeDGdtuj|C)WmZdMq~ zuJ30BXA=V0E^vlTDy-JE8pN1*fPN*3Y-2ejXSbT^GM6h7f}yR z1M2kyC7$=a!B`}D+u?oxbak<=0ENhsj>oD-$F(@UTJZ-i-6TrnmF1yDl?(dR^yFuM z5M4Bn`lF(3rYb-&KxO+6QNRzE8!qIB&f32T9sW0-^k}X{H1{S6hRvPQ%z2M9Et!en zZp+W=X=RE%UhZGoVk~!Fr(*f4o!mMnnrch`rQrTNC7*P&?8Gxd4Wh9FQ(+jtyPj zqoeeB)|f4jz6PKk+Z)oW>@p}Ol`KRMZ-8Q}h?3r5Z_tQj?ghaROR z&1K9nLyk@_xi#eCEN_Oj@7WEo%37gU#MDS0o_XrQBpb?7GERW3rE*lK??_*Do%g?3 z2ilkbm&LH_y9K85?0>-v_mr%*rj$)+6^({yR$>b@HOBfZ)4s-VT#45F9DSLQK^!2Y zUzFR`#z?y0!pFt?8#FC>ugL74*`Z;@U+chkc>umS@cs|}^54sz;^fv;vl+3mb?`?F35n^>FR)57l`_Y2L8__MOpHdn$e+&jEQ z45Xa$u$(-IlY-8CiBzyKIh18#aY2xrtw)^jo#GwYE2Lej4+Y=;cGA~vAD_TQ@mXovH$+E`j?}gfu-xD zb5HPR^X-5?q<;+L%c4t*!Q(*X2q*jBje^>`;W1(%a;T+ zKa;?c+oEEdqZ81<2(*%-S!vmTf|{9DB)9e|c!ggZ!}oI3qV3j(x#CaGvS6m^WFqCs+wLFu z&$j`P|KMIMWG+OD2!DE{ULTs0Zuwcf@_@)7N%zDY`Np2*fyC&{WhDJ}056&OFSPxy zJuKArbrq3GkA-&L!GCT~vUWlm9&z(ABufg<$awnlw73?0!^^K1SAo`wSv@~aaQI6@ zz(4F`E#bPMHecZ>=wLWsQ4COBsqW+EUD*9gdy&2-LxL}8PZ93h|LVtu;V_kpr$O#O zNB}hV1Kjzog*2x3GgP`^=(X>V(U0`#&Gh*D2?~FgNdIp<`F}}zPrU3mdqV&a^vug) zM+;`u@VC<{O#akTw$Q^|WZ`dZ01tju@PZ%7gc;>WCF=fwY5lr~N}Cl@)vj{*)NR?( zYkB(RZVzEPD%H?+y7-4swRGOxJ&QP17{1d^0l4n3vbiG{ZVfwqs=J!* zHMs|rc<np@kLWK~& zd%MV7h$)N5!&sg9jw6S^t`m}#zTR6WRA3P6o(?Gki1Q#tlAUW<~Aif`+3KsQb6DxaP7`c_+iMU{|3m%zyHt`!VN*wrNW7-F#P$IbR~?`h z5ZSK$XRH5aiW#Q$p4eiM^c1;0t{rEWJ18IvUIn3W&e6G%(g@xOXTiQ|t9NJzaGKK` z-BMK#BM7L`6r${mGSi*mQUNh{tB7*;Ky>w=2pb5j{uwMe^+b%E-8GWgL>`n7C0+q_ z7cmBg1A`&UIvnL7wE(!|f3&9n2w@i&W0GatuB4U6632*olVnL zs3idoVgrTzPj=bANJrNzY@T*DQ>z&Y%InAG{716@8VZiU_=H3tQX0aFZg+UyF<_~= zwhGl2oHQdj0Zv!9PDT1pRtkU||2j>zCB&x_#9aFKZa-8Edr#==bvk^Q71wd34xJgi z-n4|ZOi8}A*1mnU_OuFM#HqP#;|7JoFc?lZOKr;o8qxk$=h*+BDr~PZQFbDGEl5{@ zvH}2G4i4kCxvNm}9_IQV2RKAK8;+Wd&VkzXPMJ@NvdWoX;JNgJ4gGaf*dovr#&_pQ z)Jd=#EwKuJvicfuE@uvZ%>{t@2&2+Z1CZpDTjihC=0^6)JpKFjFs-|^mpvv8n>Iqb z0egP%>5LJ8GyE{NgZTNKJe%ALz{|v!ce*yQv$;>Hn-{|M5xT{T+g|mTW%Kh?D6Myf z0I7kV%jfz~F&B&HN5AIEZ(jj2=GC2`(jl)`Vc!5(O`AE`Tt)SF<@p2f>2TUrde?Jy z55N{CkG>2CoMz=IqkIeZor^%8Oxg=}tDe{3EFm$Ohn5+7{N}k!Ud&P;PR{rdJ0nkVO?vF&%8x zabC)*e)07qZs|T_heU1yF$lh{YN%p|8MW*Eps0V@l*|jgl;O8H;Axiu5NfV8Qv(VrgYuth9M!V{WMl*^ zq?|NPZ;2(6LxLBkR`kaZRr2{qPJ$8tgH@f_w5O{9(O7;!nWZS}8B0PH+bn70?K7b4Y z_{8lRI(olp>6#u7w3!ZB z^`#7^qryR}AR-dJW_TBs?k{T(I4XO^O-J=lUtOsH>BSs030Mml6VIY(tmXOndZS7$ zt4Ab=&Byy)(IOet6AVuOV?Ew1s}0FK_qHu|^VE%Y=xo~o(jGX5uV7QAr*_x^E!l&^ zKnDz;2EdC2EZ6hT?WX}zTwJ$+8mcRduPpzxF<%3RzIkjMs!%wkckBl&^@q#OUN)v1xdYvqLCFq|(>CivAB~-Zt>(4|w!9=2_N` zV(^3NZ1J~W6+}2Ethf7F?}Rw+^&JqJz2tZE{*jCzaQ;3d0@SHfhsQPSF~D93zNcXZ zKCFFo5hl9+?N0D(Jv|hehFv$hmLJ?aj-$$NJ~5JUD|DIM??RGxZhR;*_|%c#Ux`JQ zLhNE5je?V3`mJ=rV`|e|n9&2E?6Nt$bMtGY)4UVM`bu`8?4EHLy>tIuerf;C{$awo zuo{o9;2MviTGhL=8O@9`tSh0RTl?>_vu`h=2l4N`!H5PpKR}QlVMHr*QLB=3$SS^T zH7zHqd^MruQTS-tev8;PsiwN${O zQa*TOC9n>Z=^B7lOsK`L^(=iZd z_LC|Ii9yb54L0`WXz!EScl6e`O( z*SI#b+MH(~;?7-?m*UrkVen!i#IMUqeV{O#Z?Z4BNxd7|&IJCPf+Kg#8T1D{^D4iW z7K!!(+Kz#;D8N1CLM{}N(avjJF0)nvPcb*VRK+SNhVg4rb~eM>x=pu{$YTxU{@R6L zZwOFDHW#G$V5IY3m#aB>Uk@WU)XQ40DT#X*f8}baPW}`&JhbZ1dEeG;j%iO#SRT+& z_l^Gp2XxD@hUQyz7b_5% zJjc{1#BN{om9bd(Yd-hRrykq3L41*XUTJ0>-tXV|Ev6Sk3KL-R7vwH$tj#5ioff#h z5=u<;%{~97(rId0GJBUyDIfnZ*ol9{D$f6=Q|hXh&AHBqQilr)pNmvru&LE#4!@Ub zW5m?f%p%H5YH;?uS^)hiIc8;-pldiddZ&tb0Jb|a7+-a;V9xu3FhB<<4&!B;Eh$A8 z>H{#6a`V1bY=H<(XY#9K0i%bAlbt4`p}OSr8thfV1wG`!GHul{zg_I*94fZ2((!Eo zy+q#E%jH3@G|WG$EYY3^`!edAlcM;u=e^6V;;|a2X;BDpS|~CYZg*$D(@{ytH(Ngy zOg~%Uy+TyF+}Fi9s|p=Q@xZoJTY@oy=_piNDR=2NbKWJLp4;7NU$^K6_U-QMNBA&~ z_ssWcC0W_$gjI(W*Mi6Um-=QusnzyB7V=kcBc5yT2lWl8`z*4?JgFk_6TKH@p7YF} z=Dxn7?)8Y(#~tG!1P&EC4Sy}*z{c}6LP!Tx98qW3monwGcWq|gI$Y&qp&)0tlllu7 z-YwlZkRQ~&qN1>p0rE$~JktBtqMWD^$z}io^gJrzzX`H~3CTCUy?;AL=QeI89`d|= zMUFTOgFg;jb1iUNojxnK*bi%BLL!Bvb4x5@$OI#o`TT&`Old?^XFB4kYh~$~N_-Zl zHOdU(UF>jc4gaD{WTM4i;7MboDB`F{)8MTm+5IBW>1}%|a&}7y>*%bWb1GhOBtc_N z8RQK@yy>JE50L;Y#oopYZKqwB+97i8oEiXR>|xpFlX$lB^D#iCd^NhEgI19WNN~=H zr^1DGM0N&JO>X_rX~fmWNJYlW=y)EQ5O-NY*M{8e;h4Ur(6CNW-)D6X12(E8ZACz(LHZP%jag@uSQtSTZ0fhX9W8nC+ETvP73ApKljrM5s z=N+CL_XYg-FD4>J8hM&u-OwD6Dd$^CT_AV#0GsvmED-K*GDrT+21IhL?8#41Bc)@Z zl>Sty)e!ZuDHG@+Y1`*Viof|4WSf+n7GPEo?bm`?~r1eIO6t^1w{6iy4dPb#<|4 zfR!J-do^KYq8HTnP5p`s(S9G`{G`net7=oQauU5+tENJ^j{B7b`z=w+w@E7s$xYz2 z9(D1_zQo3`&yEU;h$28Ywco9#1G%LADOzA<%?6RZ@#TQ7;(U-IX{KOd zDl4}s=D0}>(Rhwb?w_0HT|PC?+i<6yW9StX&R+iY$BWAN|drkcs z)$$3Bv5mXb`8%9_Pof&Q1EbFRUAQ)-G|qhddDv5Hd#>K7<`$;Iv&rCDM-E);-lwZ; z%Ud#B+{gGMMN@0A-h|H^eD`*#;RDU&h7ZdAnOR+(#kp}DF!$nG<>q8u9-jLhaAJ?* zZAd=X@nZ3pJlcZ&!?jKpFW#K*Vu@LKd@8rg9uQSK8#>MouG!xanhPn6hxu%Hi%FWP z8}=OZthm17vk2mw_X!8b%H;D&Ccz6_9L&Av%whNxv{y8t!sMlcx)@JiM3cAp*NDQK zdegOiRejCsV5*uu2h^++$hB_KOkE={6ud?lSy=4UZ4MK!jFVf;u5V9KrL{HkLJ5#i zkTYD?Gv`~yoLI?HPeS6-zGHFX4bVw0J9CH@tVs7@W2sW!LRV_{fQq%vB?(ry3o+lE zl;8FC;e1;tRfHOZD9T~%{@(7R(+0vMyMki+Hy;;4ov|%4dKNDD@Vms)DcFDNhCIe)uDtF1WINI~bsL*c?#ptP#G*|%`t4AO9_@oe75Q@}<{b-L2d zCcTuD+uy4GZmr!3JauO5>Jeg@a}0BE#w2LmlYeRUmd46`TSjIc--^x&k01jDbiAap zBC%oT>j)#mL|T}U%opvdUV3t3$r2xU68D%s8sNSIuAuWH0CEJ=;;q;g6k1<@5X|$)X6MQt)%i=0pY0K8m!0tv)$+`PSn{`|)ZelWAl5K%;KFwB04b zGhCI66Bjgs&)2$Y_MJ3}^8O1aA8W~B=Pr)}M{h^ka7h3)H$W`{E3)y{v12czO=X)M z-=$<9f6bt&mYmH;$hhDv$r9tHLZM#JzN7v3oOZ0hsGRvOT0+zO?QNl<>bYqlAN^R^&0DhRFBt$;84M^NIUSm5WH%^4;4yh^Xa-UDh<8uf85wLn!6}XN~-dm$a zsI;*912v+qCQ|98YDpt|H(MC|ak%`AZbzHq0gtHE2p(}xi*n`qNBoC4A|N`ptze6B zQwftaEjeuuu??)O(N7G z&;n%I#}ARIT)hfphInr~He23kbE_l>zIaY;jNK3vkGyd41g^$s2&~wE zDm>Wb)1#w_?tZ{YBX=etY2xv~A=#g=VyLI99j{x9e^RJFze<)&+P%_#`FAOg93j}d z&~D#@33CEs|95U&_h;&d9lGFW$$w)KN`;3bu3D! z30Nucf}VZ)!uHPk1|#^HR=o$%cJ^17p;thGPk-vN9jFRW_!t!L2*m>-Vcm9BUsLKDe<)%40NWCgHroTa$?A2+K5ha-(WaU;fz9kNpB zdh(WU5hfhFny1$Tn?fV-?0+Rny? zsJqJqII-`UIxg zF>eA|%UZ|Rc|*VfE~ye2%QRM%^E#FIxs9|^BrvaUm}Bc=?GGDV{{*?k@AE_0QQN7< zbI)+o2Z+)(Yux@_E5v(a;ovqKd-attnCR?%SU0sV_KqqdstQwJ^Gx9m z#vhw-0ry!?h)ExV1mGGX9YLg2KfBufE|aUdO^d*JE*#E27b8e(wsPZ0{uuEV(&Oho zOFYD0U-)CgvEwey`X-~0la&HEjCUg-v#avw19i8pX1LsvW;~;tJ|op=Cj;>tB=rgN z3JFNxj*P}L!&bJ=F~C2l@GXNof!16XLWW{7jJtchS8c(ri6FhgHGyWHw!I!0R{eT* z$G(ra{ZMFQdqklD-V(Yj%$ER6FcxOZxRbl@@pfm31nUh!koGKN_ow!VMZ${87$n2D z|Nmj{z2j>9|M+1<2^B)3I%tWMGSWInLTDkYEls0RX`dlch)zRG<3vb_rqWhPou&ru zj`p6XPMuNx-q$%rKKbI~^SkfI<9UGV4(r;i;AU5i%BXx$6~IZ&vSvsrKqu<2pp2(Oxr^_HbUHYb#-6@Z;u$fayl&ddW#=;z40aQ zJ>!Q$#Wr(RYGl~98Ov6- z^|9a`Rl9eJGXioy;$v zh4$*Mxo4xSc&yG7S@7y`!-{K4T31M}CP_J73B2Ru9IDm5xUA{$0D6N)(*2k6HSCn~ z(#rAJ%^jaC5j%vD7~hhNPPFD|n?63jcv|;GnVh;B4z5u~?p;f6j@9$JV=vzY6nu(2 zE!=}pGn{7za!Z@Yr4+T{y>QeK$kp-UTs*HErFw`E^VmP0p?{ zwV0+HuY+c^OOUC4d zndos>7rA|R9}RqUSUa} znwlF#&HJY-jOJAhP!mmD=)*QuFH}cM_LLVYi)TKPUdoFpPTXqfA7I?RFSrAi6|X=_ z?=_I75%~Oiq~vy-Cyee4l4w&&?dS|P9;n}!fFzGwPm{awXzGA4A&r_1rujv7A(D&p zO;_cO*FI{{HWB(*WY#=GWxFJAmQ&|x%ymtb8ZJuaHIwhjEx%nbY&6LCEDyCMq`vR# zsye!u?v22yV*52td zf!L&}@Z;u}{W%C3(dJhdu@Tp-o(>@yp*xeptSh&}*zducw-)T2c)=SH#VVz<7nJw1 zylP`9pEn=QLq6+fDQzs+)S0-jiZ*{4$yiR6Qq#+`$nqoG?M131v zw(Xu0o*sQ1jl%Ohs?yUrOpUhZzOj@9Z(~F3!Nv8r9XdF4f+it2`3m?r{LpZVbDRPTo>`^({=()7dqBc$;%%PRlkyK~e z@%g;I-!ARs+r-31GC8HGM!MhX3x`q3ot?SaMDmbStZz?MWN`%6{Ly$H%qOhjynAfw zq>qQuNR5~;zo*<&0*P`gBqZ1M9^P{;%qpsX09Ed+?|Xru*~#BQWqa3jakCxEzG5HV zFBHeTfTQJ2vWGbvTn^oJjd95y-tJhL*e&mUK1#6w6ciq^BAPhUP$4h(XIr%5UVGvy zluB{2s$$HqlKK0~nL;(JeG+&tN7Z{?RFKOSDR>E%Xa|41_`YWd0kEP=1kiR>S!jiqgdSNO6-+v{YzPiVDK_oQg(0^`SClQiH!kz zM@-K%dAF~q!l>v=Rvko%+;|-5#8Fw6;6g%lFP%-LgVeRYNQgOx-0e*J7EPU*A?JE% zUOk~#U9AeDp6tot6#hEqJ>#;?HOk=sk@q>eP%afiO#HJ3HF7Pv2pkJV&Gn^R~~u z<0s$3u4hCa2OsdFzPzvG{2+e?bBg%hVak5!y+|j-Fs^I!LGTi;cR4>LTlix$+d!h~ z3kCrls!lA_T*`Y-OvFva^r!W`k-YR_xiqO(9Di#W7yg}<{-aq}iPWH%zJ{;biK8|rCv7X2@oXco80ZKIDe>;p6U%aNdB81yLWl(QTEtL7p4FOm;{VD2QNH0(Ji@Ng338 z{DU{{gB&dh5(@w~_;ed>Y4edqZFzClU@A<1%6`(JP%75e zpUEu0zYElsyG*vxZfni^uoXZyc247(A;CcBI8~m5Biq8+`MJnx7bib~=4U|_VS>GNT+%u99n5J%LMP9Pk zhpy$jfJBsZ2f)=nD)1hiM}h1n(Bv%0uUkSxt=>sbvq(vgi|g1vY|L&EalAjw`N)uD z0}5w{ta10c@~cq^9v(xN7WcY&*ep=9J)%8%*Ta&h+Tce5PN$l>Y(J0l0Gl6l~TAFGiE8g8R1g}-+Sndg8-Q)99@F8px1V8<4$_C z!#T@6qNqk(T!j23KNH`Dc&2N(2nSx~&EqS1WDGzMrPYM;>@JnhR_83D76BHmU6gbe@sGDLM9pN@PpLdj8gFtH-p$ zL>?L3-`xj5uBdBSIRl0vcT?SEI5TF#&9vjmD6GALtJ!-GdU7Qh&mUV<9_FApP0df~ z2m;}=g>a|$&ZCEiF4HMLX#Xnoy3eQAacNB8#l*5amc88kbBZm$SeDgE_a8Y( zYI^ZPwBRsx+F<_h8#PY1=B$<@seRcq0?L*R0&N>&eGIu~&Xi4;l^Zu{SQdZmB8*r> z-eTQKB^;TEsJeyAVGZzciFBO4t0S>3>^yJc>k|jA#KM+69dQoa?U7Dq<~2cJT}AVN z1G34Oel=dXpYu{iP zT2)9)lfMgS(289cNh--0JSE*Zx=-@bM@(U~5@8IwSpnCHSDS87I?sk-m+MIl^WxmL zwK5F+zsP25&1=;yF?$KCF5_4)e;|L*eH$z@7d1TjHwsz_UMs*|O?y0Xc-1&a~( z7@TwkE^5-`Q4TbJU`Krj~yoUh0L{<>%YSFS5;BD8derlRxDD z;}ifqMN@r;Bk1@RkyK&}q3AJNc`?VJvjY2LqP{iBHrrccdK@infv?{^Dc3nF3jld5 z6D>zASi;Td2awxh;FWRnucwo~^Aww7C;5DCHkmwZsqbq$GxZ|mdcJxGj;nE-Fop;Z zy57-b-S6`@r%l&jGIeMSL$rvDzhHm=Xf?3Z*j+0@Wd)(v*1!Gg>UqJ7W2dY~ zjH*0_3LmRtd@z)vaqDg%#uxDB0)GJt^_qwLxuJcid@{K)*(%)9nPoeBA})BE6XOW% zH>$VMKRee~H>@@N!p*dnh3fhcfu8vkE2>(P!JQu-1_mL)+U|q=(t+$eZ)RQ@O-1= z8y&lXRi<@k`#NtIZ)k?=cE*GVMCv)mCGs2ul3U^+~u5A6-XqmhyqfV^ThkmcdRz)UxB6!)yNZjpqZ% z$uB)y=E5)$xu4ibLH(sk*30y+qi8w)jbPyD9igiGoOVTaP%NVHK6pyDzDQ|jbL_>r&jBu4^9ad(sOc6C%!QBQJZs>a z`p4%aPGbPSwpOG8@N4I;GQ1}ICGX_&1s_&%4z4wVUdH(Y#kbzx>G4gQ-f?%l5`uDy zd;hh-{7Dyv)6|YRSBMNmx8T;sYwI7n*i=ztDDjo|I4?sr^ zJS_KfA#obXt{%i6zRyqYB;qwPsdZ|F&}@_$kPDo*42Og!8|@m*jXyrrf259V#l*_k! zDYE->ss(N#WVKlb?Kh7Oxlt(~-Fi3An1Gt-Nns0q(|j1u{z&CLWPEZ8R4UJX>Fe>- zXYpd2D<|OlBRfuy8S6FW=y44OOx3uS6+r7oKB@I~XaXQ-v;1OK&~MtjBVzr~`Q3B$ z=yiN(^i&zqsL*RG1RcF&Z;LiBt+TE^)n-iU*R(DR$QCsXL%D?sCy1rKpXpw9o6i1 z<*cLLisb&=+XSkx5VPx%d2$^T#HA0Wy?QkGSe)2Z?t8iF1%8(lLG)_P8}E+d*Z^WV zLY-9SY^j;y;B7CvUgf6r$xl7O=N9DE|Mf{e22vx0!RaV<)DD^~t|pf1uY!Z? z@}aIp#OTj>jWuS;=nSl4#pbeuNy0KGhNJUNI7I8tV5If6D3))x@ZsoA@ zI@`Arn+9yYT#&QQE*?-r+Q}@RRn{0ZHaQmT3BTTepp6cYuB|Jxc?7Dmr1ds5U-#6p z9k>)UAG=~(3UW7+a=`(j&JKGkH;rs;mXgK+0t`(-?C0>}W2}IiDE!i}7A=$e6Nrru z{_BpRo(Hfrd9nx&`LWfh!@1IuXxf4K_>gyHWPNEVU~J?mpFrqaoCG!sw{4WQNicdz zszbW&?NW{Ym0>2I=)3cEE54uam*2-G$q#!jLqBJJPU(*8v_wC)1cf#RD8wjtqyXQJ z_cg*-?reX$jM#olI?-tQh)v!wk?1_o(ZoFG&9U!q9|kxLa)E1_`B0uaM*vv!s-7}e zGIWq5OStzqo!NM+?2oETRf>OmTHGJD0h^8Fwc6cvK)My$_`F#;JvoL(Lk^5A<(7AP z)So?rwl1kqDt^8)dk4^_e{8>b(I!xg*3x%ay4nx|wP`rVi#t44fTTe<+q33TyzQ4D zmnKC|Hp?!CFM+7up^IRPU+>78P`in}37y))@0AYH|LQA$I7IzstuHFrvVAsK0`-A# zjoniPV}g4s0=58L3+NpDMxF}_kpw`Ul2L~OJKb+9J>Nazf2a9J|IHUOAN2JU>2Q7nm`L>NEv`hz#>E8Q(a*|*L+&=-*5z^y~-0RXBaQCmD3(y!$v^6#Zu2Izr< z!1wYLkc7y%-T~Y^ZSvnWcfqEI-63PbmWUiZj)VOFTCAW8I$!4rKmqxYNK|Ab>w!o; zOdxVB0_F!*R@r6yw-kuW9CPDf?D_EUp6S|jRyaU-X$M)gadmLwCU5tH=qLeHcZ*XA^2bTd zRG3k0Ch1W*YS1R3N=hg*|ma%D|2S?v@%KmQ$ zM*#v_Db?xwt~M1VgoS;bDrTr}wovWvxSSuln&$dEv+t1bMf$AW^in)%DoN*lR!MO` zOUrZxM!p;QpT7*m`{R~0x_b=_Zi{ZHzP3#=k8QO>ki4^h2(d%FILH*YRah-#dt{jP zebEcM^@UVrD$+w&2wm&PaE0Ri8?TG3kO&>FTIxHJapkaqNyh6Uw}^>XqJ3;hOLheL zJ2s~b><)RTr;mq|_fyrjK~0hI=a4Q<0Ns&}4nyTUVy5LlnEJTUnpm1(H?T=Fi{>OfLn9jDGAI1=J-8fGG@e+S z8jmw%YpxNzHT~L?DV7DgooX$l^b@tn2nXaBmxPfYE3ZV3e_${Nzkv%s8U5GZm&fraV%KNs5} zLeb$jg}fCUh*BUNYH2@H9SL7Q9a`R3gHnE>juXSelTUq##T;|8(C2+)KO)G5Z;jOz zQsO~7WSfr_WW7qD*P$qi#q}^nsXo$cPPdrM#U_&dW0{tBhTDeabL#iyoimea-SX-S z7oV-46NR+iMrt>PX!D5<{yY2U>Q7}*et2*$Km6C>S&PwuacZ~o-aEvB@Jh5Et7ubA z{h2Rp+11-a`s1pa)o!!CeY%f2c$jVZ%sI15y4}ke?HVSMpef;^{>GC3Jics-?(A$s zG`X=)itV{8y9y^q+S*?P`xhq|D;t%CXtv07VLCwbjz^YMh>o@74ZF$t9$Gp_#stj} z^cGODO~O^~7qwh+rGKvK^y8+Q|KROP@Sq8_y@WCJR~MGTk|0z3?KZ6M|3LIk)EB2e z{CMe*nte3#aOf~6^DI&F*13=*jUvHnw$dQ-U$LLaU74$ScP>@ zZfsFcB(4*RT^VzC@dvPPNztykU(z4m>q-~n*$c#8)?35SP|x(|8zWmFdyb?&V^=A z@D=%mNFO+~ZO(`GSDO;{S46=w9i9PAZP zfje*e6hg}IobmR z$>LTy1l>1joIFDQAPnD{-k;#npG6(C9<*2Y$()#Gsk<60-(iEJhV&;@sZAw&haLs0 z7-9eu+PM;Yg07;swA+>28Fx8-*v2bV<>!-&-l_&Wu}(&3|L_PujC>c_ZwT{E-6>og z<~+F7oyR&ZGKn{!IO(!R$1`6cK!@QGgB(7x&1-?K&k4J5qa|f#kP9CWv`f%a zx2L5E_}Mx;-I~}Q@T}O;ydGHgDGFcu77L-CL$S~+*;>U~DP}N{s_a_2wlMv)$4&24 zLq#@7MW~6NK&dA+=geWHBN4=$j@Y|9omDHQUJL7ylw|Z}byPfd2_{M8qeDg71 z$>W&gshijhkdM%hGWNChR zqsw0$pf3)LL&EwKCz=x+Mz%q9teaNfWcS`scySVV^SbdXLj!7W2~72L@jU@o8%Y1} zH%gn3%%I?_NHe zt)JP;?)Ssu3Jgw5#;KIOTKcFXVO*j;S|H^!rr|ANvkdn?szdc+G#(>ijbE+xzY9I4 z?>csPsz}u)DdMxbI{ABW>o82 z7~(*_(;ZuaNTnkQ!)`Y1(O0>OGg56j25s%}uTz@)W3Enjb}>L!mvRfuqDdpf>sh>7 zP`^tz<+xiYe&De0loRK*BZ<_(<#*Kb8Qi3cpf$D98Fwqk4Jt})I9%kh1evsa!Kf%{ z)73$gTUsIwx<5SuPHFCZPIq-2T6nk-=#a)6Ro0rx*Y1@B?b)f*MT-sD?1nQe;Hw)N zU!--{Ra9{einX4Do@c&WupP}#!Z`?}uEXTz>CQ;@`&MSBR=lsonJf>IJ9yGF0seD8)nu`;vPh(0eMqFZ=E+a2fHZ*+gb-2OJ09^6;C z_&}A&83s&k`@zzK3bY-q9$bZi6%o0sZ+z(|8ka3gG%k8nWi-(=t>!PL+X|hwDzi8g z=-h!edC6b2LQbtgvx9Z*#a!um{25Y#=TDQ2lR zTF!R>MjFwA=^zuMR=DUA9ocsJn}gXx!CN{C?+mDzgx+GDJy}bkCI`TF|H5z{$Oip; z^v=K3y#0Tu1C`Ikr=SI8ml{$(pFnhOu0fx+->>kO#g4ZW%WG^o4t_F?;nL&g^iPcG^9! z-0|yY$cF|3w|0}0-dN;eI4K^BZ}jsu29!k5?UBBqcEI2klImG(T%QzWU3uKM{VqRy z0Dz%K682w9bK(?~Jt?`^Xz%z9C0qRinwqhZvgpu62cUvr{aoq_j^_ z9~Arz!n4lo5Y8oAy4R^MiW!0gX<5 zrDbWLiNQyGZW!VyCS>;D1saCJzPOHld%bcZ-Z9w7>#}7H@_JoLY5Uc1UkQ4Jovk)N z<`uku~Anw?m7SB6S@85kG=6wpX!Y=(Xk?>;a@Y|E?o* z)G&Uq`@xHP497aitO^pjU-%i2e9!z(XlQl^mEZJ%4nu zo1AMwk{x^NP$}8I&y@ol0QYtAk4X#55#fFvxJ*ax!f5>z>^|DElz}W=-_qr^RS_~G zO(2%Z7K5CHpHqkj*4!a&)ygXAG`77CGc(m57=iUy=0cxULrm=*+P`QoXmbJJ)AB7J zn@uxXgpa7sw{(TTq_ciovm$^CX|yA5xO2Ssm;LWNf(xYR%xl3R#ejXRB1wwkdqW>m+w4TuMzP~wuU$P_GEL|pcF?~A5V>EI{$qU4` zTWQtb4bY%#&&9cni0{trg=Vk0mN#dpnG@cMCOSPaZ4E+D>;)l|8QluN@j1O>*EhG^ z1*lnIuC~`sfOZ4GQD_|=L$~Et4<~jJ{2OqN%ID-pUg!|J`wZTZ%Omx+;Ari_9aXsL zBp$f2-hrX%i%quK80~XYb{_UX<_QF@zz_$D8tBw5t{Q2p^`31^D_9mj3&={i?I;~` z{zn$Cf*=C)*NxN{MofMtwxl7*0~^TGZJ4oHO{evA=Sqf$-24#(gLf(bYu0#d&gBhM zmUCngJ9idi?$@qm#?{DC+;p4>#nu+(L(i!G3ADCbXGbSY30qjoXX1IBJ2q(&OSG-2 zRhD?n)I@N?wW+Jk=A9ARPR~T212rbCc1QC~C8Sb-+IZ1?c15#!_(UJzu_6_AAL+Xj zEAiRqhNC{y!`yk11fa6EKYPC9o6AgS7k?JtevKQda$k|UpXjuG`rJCw1b_O~cQ_GS z((*q{TvcZ9iIO8Pggf31S^67J4;YYhGhq0r^ReET%n5GIv(4L5Ra<%!SFD%y<~(0?MJ@X|6t|U9M%hbUajE zemHO)2NkHNRmd9?fyE6)HdL*YdZhW!@AdyCb#wJHZ^6Eqn-MFYW_64hvD|&Tq{Jn; z0i&5(y?$5XP;OF}5|>%anGQ^>vv~6@M>Vq^vgXe7=p14ZH5H+qG1YDt&dng#GxsV3 zRio)oeX%6TZaI3QV4ZuKY1ZZXiAUL}fqpr2p!(iBHA$a4m28j9|88`1qoBNU=fPAZ zKIE`M-8(D8rp4VQ>3XZA9mj1(^I-^nmZ>h29!kwa>+TeoA7@tfaT7h8Y*8`*fVLXX zh-sLH2}i2qM7of+_mD&9#S3%O!+^lnt<>c!UK|jW%<;B8WfZOUvfA0jNbmekT1;}2 z3!$pXZR+uKUtqwwTgsf5J|fiPEP99cq?Wu9PGKtDGFzmmBk5cDnsDFXqr(C22+OKn zxbpQ9!zlblg(kdY{90@M_85pZIeu{F`>L3Wm4W>_*pXKvo~B-|5_0SeGHrhzpjX6m z%QL&9&P$@WSaS4n=_m)Gb_-Q^JdS7D5?eI3na}T)CE2ee(CWp4o=o->$=9-JiqLF+ zZa0@a(``&tfMAq;`eK8Po76{W-JU1AJmEwc`{<=la%fsDrk{=Nb9{u^4p*l(YT3X=C?r6nZ?}+*@eM znWD!-8}{XxT7Ipak>3Hr3h_#c_)wD`Fd@$}tiJf=ZL<1g-3@x4E2J^W{q8t$(FJKc zID$grs^5QGJc-EKn#9FGF4B6|N^@5G2uv)t&HD>E)#bL9!>KVDl-^ef#>M{E(f+cK zuAYp$d>O^%LF)A*llJhLsZLu-6y=8FSp35T^ps+y4YhZ8AD6zhx9D`evGoUUrLhJ* zSm(BvLlFZ8@62?Uo=qsEAV`A*i_y2|GAG))D~RYJ19v~abOmQjmfo!aX)fz9+-E1; zu=&%jmhw7h`^(m{pH_V@x9~jnucY_S0d?b+Z>!k>3$Mz_k-z$6J z(~xKB@YAxe)*3LKhLn2qqGot+-^P{BmT7>5jIJ;#6Wl(;9Bc;WTwOozym@JMYI=mD zHcjNT`ClOobvQ@3#S{jfO=OtU2~hk@)ye51HCT#+(A*IC8Iclke!BKeXOBMExV3d!QURTSU-c9aS zLhOKKe|YU`6+HECc&&oLb>Pz@yhf08(-TE^nOIABQzhpkiy_HHTz`}E{3Q?=D*7@i zKP&}LuW!?V(RAs!Ml`N`#+lg= zb@C6498TC7huI&G;)&h7?5Wku^)KQYTWWgd%0ms*LvgW7sTUzLB?fAxua#*N`}gE( zL7oNh9>bxyJrEa`b>>AEq~`TJy|b7`CK}ZzUA8VRl_~~GB}U}Yz786(+!sY^*4`kl z*ABW(vM*JLa+*>t&(~idX%FU!+DD#PE%S+bF!HqhB=@>oBaoL8+q%#=8*=xfl^hE@ z2dM3o%zfX?Z~q4B8@j^oePCxQU^kA*vqwwFBppx_gKc#_{o#m1csfpf0{__eeT{!#rjJ1~v zwbMb4w?tEl-GLBZiS6p;Vk%lY%24+6sFnlana=jss%<^IY4X#>bNj4 zp$v)$ASv8et4&4TD7wS4U?oMs*G)A)X4EWp>9sNX<-bSJHr)A5>kqUqf79UU6^Ao({}3^L+ezm#&ENNRc5LZ5vKX$lbm1i0 zHRsjR35wNM3bnH*90gE)cLO~LMkjRq-_Fe-i1U)x)-rfwj?Cd#y z6Zs?L=$9O?79eXEZ_kMYx(CUUZ6C#pgyAEsb;bd@ps#5kV3Y0a)1NtkDAaQv5W!I! zyNn`3?Rrz#7VVl3Q(g0s!=xYeVj#C%!A|n$3Vn0UBAb!NiFpNBWIO+8JQk(W`6fgZ zq#FQhQJ7<$%gWi_V6P(v@B@CnYL5k!c&y$*T~TObti@ z^U@;r@DiDk>J$sxm~r1BjfCWdhj%*t@Xn;Nt=d0(qrk@M$E1T%g`!tKKt=j5L8gAI zC0F^Sow#(VG;Hu9dQy&EY5=5RLztRS{L_$&(Ko1ZU@;P1CY&Xet=F3Wr z3xmUbH@*>h44mVzf;BEVKz57XOia4_dyLLxS$PnoDtQ}IWmBVT?^mfyG@hn80x#IT z3q+LQ&O^IAxWq>-A`d|h6^*z3&K<0?+??gKHnA~uhl1IcfrG*)zn|K_DgHthUiibf9m#wxj3y7?hoFknKT@VtIBrolyx zA#y2s2iFKZlHwhS9p7E>Wgz=!6#XI2HcSf;XkjNexY-|!i_FC-LO-Y5@m7$ zdtFRN9rr?zPPymHMI*AswSsyxUDwHgt^=fE14uV@rnNxO1ZrVvt`-qrF}z16zqj*Ss*(rSKkem!)a?rIH_86@@c9h&)jbq){(02E4Ra5IP8 z@S-4TqG-@{n$`c3Z_#shOsPQjrz`B#n8Bvy0i$cqgvu`f=p-BTa~Y7i`WDm(`Y&yo z-##S(hWI<7mCux1{+k==RjzgWetsZ>lUk4tnOhHP9FYw8`%{Mg$!4^c?e-17m_2|p zya_ZzxEZ3TfNAkt1kAs*o@c;P82FD|^`@URbLP5br;klKlIv}ZDtJxt>+QpK1~f_J zY1(~GlWs}4lcF9Vy;B$;Rm0a0q(cB2@sGPV`xm$kGlT{Y(}Sv@prGGbI^HJV0;es)q-MM%L~F zkeY|01oU;yB=#}y0oPPul!dVys#v?Q3Ww?IhXHeMDZ;CvI`3O$mLq5_9L2z&CRfQd zlketHpm_@XW(Jsm_zDzUR$RKW%H#m1`_?o=TV~e_&TltXav}W_sFPMzEe+9#MX10j z71$yu0(9rK^J?Sb6@Um}SPYV-4k+0f1Bg^v-{QTD1clMIg8E>8@G;=~w_!7bzuGjI zr}%M8p-;g_?x`1wrT1@3AIeG(p*Hy%4Q0+Kkm|3hlN-C>!m z?Eq%gwmJAFjS||0hnlV+fLS@zORImJ*Z~2v`|A?hL&PzfWpdP2p!<4Qdi;@wQO+&0 zy3-dGM@$MS?vm0*)w{@JI$3=Y&jprl0uC7QQ3skz=#q^90^!OD2KTZywMs zKa)H}T16_oh){jQcThzC<=B*>#Im?netNf4@xXG0pm~YNjR+T(@ZWDp!^Bj!! zFOTRO_NJp2<#A!gnsVjfbl!f@wwE2@nyW-$5&k!G^50WuLsw`nDRc2Z8_cqMH$4IJ z6`{44l`AkL7}^h#64OKuUB9vQO0S-*G$$vQLbM*y7*RU3R_r zc+rjMF4oP66Dlsrw9q8(ev3FE*Qq~Dp8K$@``V(kYBgW;samZzJ``o8VJf{<_=_k1pMex_^Yh(BvR1xgzdfs&^s5mE8`-}`MlLdgFcgOX zhGI@E=NH2{OTqY$8T6k#I8dXOHY!|Amo)$_u7sg1YT<3x0(W~ywGf9}Ko0r@iGV>! zcXmf~RNm3|t5QdlLR)7+{4L-Yk|6WIb*hMxyHwPQ{GkWx~P~!}w z->=y7=bBgF5ECG9~bus<*{SO(0_+83*F} z&Yq%aZKA2IWi}lNvL*+L-)Kn*>m(18dL&Id1f<97T|+w-J^mNk2Ox zeG6cfBDPcv-5Rc%1(oSY*F}}!EubdGgAWLwDZo^R`7Oc8`1C>9$v(V<-TPf)pAi^fkn3%3!nti#|hd(e%f; z@?>{!;Rg6%B&|)h5NekJj+CvQKCG#d9~^dM|9!6KvSI7)%o7QGab>b6B&lRXAk67m zx_#E2Of)T|pb`6o<5ihqJa+Qa_+1X&fBa+K!7rp~DI)UGL>h`_Y6P|e$_?0BM+%x% zhf^V0#Rr?Sx8o*12pa|H+IctG6Lia_29GC9G|y~t9(Wsx9JImthT7Ff5%vS56l>|X z7=Ul9Yt#tKaWhyyN=S9GjyFWtIc;ZodWl+fRa1IQfCH+}wwhQ&)kRicz&Y9UeSfIm z2P`ENdxfZVI67XFokBjQe3J2qxniYdk+ec3_%;}|w!mnx2%pdu=3EE}6{5l+yA`rf z1sxzUJtN)o?6~!ZHSQ2ds>*V+*tyZceg_gQ?C{3Alo*{IXLZk^oK3Q#SYmgMm$4-q zXT{*P!~-dvey%Y7ZXO`-K5oHT&-+cKgJY#4+p1(3vn3*~gwHNpUQKSz#&WGeeAb~1k{dUBJa*KN`h2)jTX+PRMu`tC!yW6AhNN?fnp z2I|5Y3kPj=DfT+loKnl|56Ju+G% zZE__G*sl_z#^dd~-c}R8{CXm1Vi`j>g){;~2SCkLz|WLyH=&N|)o3A*Gtf;bMwGFh z(Qd=d##0pcXI-SvEl%H&2rcdt4M$;}5j|O0U*S$!m~(ktOg-rk^NIs3T42z4j6ebz z@#eHT4rAlhZVAk%K@rMs^74#`=O)YAEZ4PXDhT7y~aPgbfhKVxs z_~rkmC(!54>a!%@PsBS{$%YDIsd{4)DR8@Lv2w}URj^kOm9 zCs99Md=)6^(QRnTju*?2mX=dIk8i;H@{GGxPr+Yu&F%2tHv_QwXYN7?1iXE;&M=W@ zj8b@Fc0c%c)KLKgEy7oAKZD^PwLi{+x&O!8tfdJi8Wa%7V)XZ1|IXX5Y* z+EebsS^yLNAK-zZx4;I*@g*|PSS#DMBZbWecGB;B^6t^4V{-{7@Bmh zim7mFx*A&mrXM;1+h?EDX~sR9xw3wv}U7`;$9zNnY+7Mn4_m)4DVoP8&;&-`^p8 za!rx??WeRD4*L85_+|e_R>Y_=099-?(ZZVPogPqr_pf7 zc67s3y*#N}3KmzOpWcu@&?A*BlrrFC>uQd={IH2s^1ONAW7hfwV&lQ_Ha%sFr?kV|btseiQ4QlV zcw~l5>5+28+1{d4yr2FA8Dd<@Bo+^C22_lBTOyg&INw$CgQJB#lO9 z7Hdx%y|pLT%NJ)9L*K=EJL1$)JDgQQiXcn+SVE=Cs|K4>PM*d~E!+d#eclgvt$)Yc zUSaCepumUP@Qzo$W6k77dF~H}s}6m&8>Vz8z8~0vg|BR6ABA3e$)gXrI`M3!4{75C z=a(H_dE&3f#Vq9?|b?!c40_n&*Bzdf$R6 zH?>BcQgD?q0zF#jqr6FtR2`Xz4Q4Hp@`Z@Vmt6<^J`!;o6TNdAcC3!$l@CQec(Bh? z(zoiv1svK>`MuqkP&#bii;;?3#?a5>U7w#yQJAVvS&|$fl`2QE72^bd)aAXjBBYl> z*~nd-Riv$f(Bog+&@vi`Jhzyt^xkj`iDY$pu#pFGg0Tz+lJ=}Xm z-huahJU_Te6lzub#*J~jXOEF$(W^cvTNWJiQKIgvM;_`RjaOpVSRq**9_U%omO}$L zlI+@6kOU1658@WM@Oq!As~dO_kB64|7I;m|tIecFHdn>RJqshmZralkY1!S;6_z(4 zG{fiIA8%KAT(~Yd;7h%97xDurx1CP!d2yEXye2FO;4#^ zg?oukjZXMm*R+NN%aBszhUe>6Z{L*=5~ZhX$eAid{TOV7<}P8cLw&?I`}6caWxtTh zWL?m4tjET~$+If(xND6Thkr=t%yXQa8|gTHpj(h*2qX7d2HQzKAu~Rn0lvZQt`!n9jTFPabAw<=OSuS^yKu6fSn&1b!v<1{bFC z`WLDk^{LAKwPEtbsJSR8`Fvsme;rV%yT`v??U8F|8acpnKHeQu?PK8lI3$`TZhy*Dm zt}+{#c!DsH958Ih3X_WkAJuwp=RxF-$zl)d5@HXa$7006pIJf=RuVhShtTpdFH^fz z;B|VmAdA-F@a;>zr6Iv_fFGjB0Ve_Tx`dVeR|$2NfWK|JcC;d5s6m_HT*c0ZY|h%9 zOt1sJJT5WqbML}lJe3-z+13?nG%??{iN%d!YnJ-q9{NVoBm;R9s450zZrk_PLzjb4 zuX|lCQW~Fzwe4k=aM%UrBo4W%yY{rTZ1g9ZId6W-5#XFDA?$h&J){lBU+-(A;2|(c zhvlq?)VDRPi5SAwTNPbXG9=hJsth)`5E>-0YnDyD)R1W_{O;h=Swg>GKNI3N)mpOpIkp`WIY8xyugpc|tLCwL6 z0={^8bvcXkx{k&LGD4|brqd7kF_m77Czn+Cc#wnd;e&_jgQkP3J_NG#RpW`E-ePr( zR}0!`=j2SYnjp%;yWHx;6yL=IV9iDPa!k-zY;{DyR~~Loq@ZF%=Y7!#;fGvy>2k+N z@%>xkF4320Mow6v-B=6(9Jg0wkNV0vH7lKvKiWR>yxs6{&&1V6a3LN&5hbX+FByws!)^&*aTLtlwENpk4k!`L0N@kP+dbU{?wrBG#nGk(XiYf9q6FtYh| zjZb)8&BYBBzGD~b9#eeofWrqoYt{*PV{Fb=+A*72$Z5YA`~Qzf;d_RZM3+*#XY6|{`#6)VmT~siaJsM<#n*H@qQG^Zyu8-4JLfcF*){j1n;^1Syu6lu zbmPsN19!PaRUK1TxH>#g0B`BRn80b$E&*;+fe(6FS-@d>63f30ioBq`Ba?O`n^-mV ze8NL4?bCjXjDmAb4z90t(?5b~Sj1PC+Qe6%R%a)duuBAiNOQ1iky*6t5pMa}XxfcO z>^e4LN<^gBE)HqDY!-S=DQG z`$M||xyQzE;7I^(Fg8>CLfm_x&t$ao{F^u>Skq;gKHBQ^EsN#^d@?*Ke=vh+C+l3{ zZcfO`ywkU6-AVMebsb%+LChoE1%+JmqAv;8=an@Rm;U_2p)-R_>}mjdG#1JMVOL+B zYYIdb*^KuFUn7rt3;-NqV&9w0FYxR>8@mGD6s|W+Cl8YEoqS%buJr#}WSSaJ`c$lb z@kKc8@XPQ~PQ@d9KZ*a>`m%IHmOp$ef^fM{A0SiITwd@!@CM1k?7*8BsfTX^PxJ^` z6ZXG@{>BTzqnt07cL*Okh5o{_FykmxR94}8go8_c;d3C9+r6pg6IUl{H3-P}e3$`? zq2x$H?c>JezRzsQ1)V z(vf*tYlbAlAB=K7xm8r&)7hWkSW;o*!;)B{!l$*z(X6!n$v$8AFSGwia9sD$^7I~u zK@seii92%Oh`-|AQJ`34OdKFqL8! zs$0&*>cuwDO?!jA=jDuDl}Z^#JqIsJAv5yX;b6AfU9af*aUlcGNvq4C*aYOo-;{h6kPf+ z1ZW-u6+~AsBmhM{U>1HWdlC(`{Evs;d7c!c7 zFK?p0$ZzMhV*gUR8Pr6b>0oBDg;hOud5l}gPO;hR^?i-b*IVGg1D5#WEqN0*HBO)S|A}XQ@ z0wN&i1vUM?GvDOQ`ObBnbFTVNF5-Qk?f1KX_kBOlGwvhd8wp_e{oOyDSlLLOos2w- z+k*H8sG@&-H%DX1qB0B#g^Rh@Rhdn+(i~fB87bPu=L`=7v{^BO(!#C=6^_+h5Fob2Ts$}*1%t)8++8-ASSHqKZn4Kz3^f?gx~}5dY)wBoph(sO+mMZ< zXQ9Y!S!sx==2kDiJ4tSV!*6qfe$^CHsw)eEp7R*3QEjQ{49dQ}FHa_*!lpoQ0`6l|w`uaE&{_+w$yvO~qz!s< zGChUVEzf#vWS(NKPoBw4sc0bh=u2GDz^ryh>?X$FV|!n z2pgzf0w22}aqR8@37zuEj%tX3*xD&O+fT*mJTOYU9a}lH0ORvbnx#$sszE-*(|`jq zhr!4tdQ1#fXQmNJROq>$?9a)~W2aNVUTkdQ-uxFcudn#iAk)kfX=~|pfl#6?_wcco zg`dF)bzZ%+UxsrY;2RY@e3*Uo{0(~a0ipi-suNLNSmB{;;PfnI0(t-5IW|3e!QEDA zdZT{XT`~JEP5uOW%+}Wi83(5k^=RYxcg5B0tXN6{8q$+8Ut+B5vXyeLuzYz%+1F9Fs3i>zDwaK2NL(Az8|sv=}u3S#WMVjrU@`dJKiY zlElahFanHVPt?yIjk12&#GCR2aNzD?grK(9ZqR^M(ZYM&{Ai=YY124*p^GGguIB7e zc$>)pwdshrF>*J;%84D(xRpe7RtHVZx0Bxn*9?8xXrK}5ZhK>Pn!Q1XC7ZH*(CYZf z*C)!a*an5Q9qh3%tE{%H@Y74v7zh0R*Q&dTeC9Yxv!OuIR)f z!st?G;5-c;Q=DvB5=OKvjll7uJ)G?ki15+3wGj)J0crYYN6A34X>f#deiM9`-b^4j zjL^yfUwxIGKi+C}@QrYqfuvjf-K=FpcL=V);w)?Rdyt9b!Jl1QQts3Gm2+iioaIEn zophXk6ZLtxAoX~;@UC7YCkM}<-?Z|VigHhSvU^Ad0`be>ooQfcWYl0v+;7Y?U#tg86fa=b5igGrIt^H0VOuNpAd20QqWB~!_L&MT{+j0$UiE6Hd~3yEX9^n{*BN&{Y? zNa}U-mwUnrt|Gtq98FldtTx>8j5T-X>~DEVEl!gR!hA#o@w%oBR5)oeMaAf%EJnGE0QP2dbx zL{yMXGtcDvR1VbO|D$K#qc_SoGJo(OTl#fgRtdN^w;GZWVH@F0SS8-lPY1<8+PTYW zU2deOxO6Wv=pD;Z3iwFMKq^P(z8 zgG8u!W;?ptN?z(AHNz+PHd19~L`%CyW-c=!FfnBvD)1thG(?kdI>$SASZF8+8YpZS zg}7_YX<`CXrM%cZzUVZJPuHxB~68aH@&~7_)OPdZ`t0FM<>t5^oU5l zu6Fq@l_pHHd{^W%vsa{w+-iO4mj1xOODug_1Y?zx-8P5(cSEAnEsoSZ3Uez49iq9egu;N8OjYtUp#Z3xWT`p*62VZMOgISa8+hM#Cq9Pt| z+iLcSlG0i0h)B)AQ8>G7nvZBT8BSNz;$)lFkS#AZ?Ga4ONrrvtJ*KMkr{;#3B)d;K zyoIe~$zOmwAA#j+=Hq#a^X_o5$&pG4HV9Ua;NlS!gcOftV5-7kp-ks^Pu4gWS(>Kc zs>N{^D_I=J0X}XB(9=RaM-nkr7T<)ca{O4b8ac7%J+R=v{5;H}Kvf(+rBH+9Lhv@1 zjF@qfJXSu6l)$8o=A>e6mMjI{_gWoQA^`64EoW2G7_}?i@rrlrNrzMAZHkGj@>X>P zCOGHH!f9dDZfRL^BXp_=zu#}{_#^FlYWg$#0q%*7*!Mk~I@8``X=r!Fgvm0jy71L= z7XI>2YtTSTgLs0VNwDbYI1<;oe%lbTTT&vYz)0uQhR31AN!F(FUx03b3AGjH6b$4f zs9eEQxK*@t-|CEpYUx!qXAFzJ2xP7{HolGE<%4$j$&&R^doDm%7iG0Emo*6b zPl2!vmjDP!ey{2ZJkMC}0ITw-eGO>J3T_xs0?bCa}PybowzX?jVX`|4ghL@gk4;*Vc=i5l> z|5Vx6t*o;3wS~GM;;9 zCs>{V=O-p~u0@U~6peSnA_cTbT^%d1q6=BNNI}C6DP#?5B9fwiBw)xuK@6~x(c-wa zTRqPiK6r4#?^`2diK3jaGLq1Zhi?u}%W8Yxak{U(g`6&1d_?4sN$29YftQ)P_WE^W z{f^woy~fs4Y5R4Vwm0$X=K& zb>r~Uplz>(cem=QCxB9Vgm*nf>^F5cQEk0@4PnxER>43&0LWry&F*7!@FaPFQ~*|l z!GJxPm-N3ishOlaFL0Ms0!>9;Op{6TjQK&VVhU*t!yL02Vbo!3JYkA)gP~TrqNP3H z^2_0lC#}PZE;nhXyNp%N6#@q=;|lxZi9#>*y2BXTiv~S`ly&LW;+#z@Dv9|I9C6#5 zyYURDhbL%@xI^;FP!dwrWY)PgU6Gyds=oB+aLItY!gI?&U450{;Qg!ousRi?qg*;$ zVz4rJaA`2F04y(*4?2;S>F;x@dWU;XR=4da!D^Ky$_>Cz1i6#Ph`@O_Wh+_Hw3>Id z?j6i1WS!gI@cM*Jrv7THEc~*JEq#EV%7u?QD=LF?ju)8(V|Qle@AOAnGT{_V!Rt`_ zzvnx&!Z*5embx}9D0(uMIaq8)i+!f+gy=K$UwfC1y4?c#5}H4yf7Lz1*k`Y}sFPw- z^Q&!lkYMDIq(@gdTbGm7^#IPQ>1T_ha%%M(Ewva`eGoettiH8hAM zhif2(DZN&SR-zwxF>gbNgR7k)9%CKKH-|6Mpn*IQF4gb_+c%E&j$|R2GLMI1;uj1& zn}(|Fvbb#aRy3FY-GTo$0*&_`q~BONJDliXf110TfjVqNNqfQ`O5&>dw71p;m|B^ZmchqEEAw*n;kBWZy$GBfY4lrTgeA)GD$dI)!FdxUV zm5CCFFrMw~B=dFKpb1^4Jx-%1!l#IpU1XqOn;yE!(L^2xtcY#6)cPi;+;Ih5l#QuBB1A6LULv7u zqZut=(7R~KyP08J9!*Bkcgt-Jx33T#GRBgn!Vo9JwSjOd#~M!*{L`YVzyBxC|%XIAP6YZ}d-5;qLM zS2M1uv=PXPwSOeiKDquIGJ>`r?qzkP+bO@tG4H6p*~pDXg0!MDLn)jwG07V zH|Qs6a2d$%eYVp`r3PhG_RFK6?nU@C1B*q)>TEGuUky?}JvO>1<;NNRS`YmD($EBe zad$XwZOD6lX@yeyN?ez;Z|PJbPR%3TjyyvSSr9f1Q5hog5?1_&leOGQ+B93y0baWgBoGRPq|Ga=3 zAXwB34sdslcNAEB#GIY%Fw|s3EyYo-7+T|vdgUGsWdG5(VMC8`Ey_!vilCYs0Z4iZ zk#Kx>_s!cn`B!Y1N@Y-+qf#VGe^_A%boVrLx1rdYBJSAFvAX?5frocUM|`8Hc(h#@ z?|i6@t-YMmTGzZ9IskHNT$?dmH`*!^0=6Y`I$%Q+g$}bC8r&g%M~7KwL0*pm;++9u zNRPl2gD$I#Kx|+IPf21nH|saY_FP{#&7_w$CHJW5uKjO|H7@jY#TwT~S8OR;opzU{ z9idQ8`DUK+x^ST+J9FouEUvEp5uCfp9&IU-2hKnZF21?||{N

Vi!7#}t@ITC@$SJlu9*#$T)=5M09 zex&iI&lMz|m7V^KzJrr@83c#^dLH2D3xiX52xw5j>NHJgW@ENQN+P1l5wqkfK&SMo z*)M}qwN0$@Rs4IZbRZ;tr6b7$s&>O0)?<~WAYreU@uvj+?+0e6$+5KLmdnSN`8-kl zg4Tof*mnS`ED=i|+Wk=;gdTS#I4<$K!sZAxp4R$p^c%gJ4-bKc(V0@TY^Q{Z5u6jN zMJACD{dPDt^clSO8X^q{Lbl;PuK*PH`{+MQ0hpKPiuA!L?L-`Fg6cxkL~~{Ba2#x! zH!f)EOo4U*{ZN_dZ3LDE0_^TLjOC%jKZamV0qGm+vj`aLComj^@c$sr(V6G_F#H9v z9nS!!tp4$-^?Q&q{0QyW4jiEVe^#Mx`-7cW9(sMB_kWP))-1xNw~OY=Nwf9_3bemK zfqiHNT8=htG3=|LtO$~>fLXvY%Cd$IR{h1lwjrzX-UE@i(_htQDLM4lFIpCmRr3-o z3C<>;6B+9T_^0(BjYYsm@MCa@=@u^4{OSjy!!o?gD!3>9vg&kzy-gt$02t3AzV!Q~ zPmZw)0o);Al~p+14g$4%S%6fT*V$eDZv7DL41#<02zrgzY6;3=_DGJgV$2a`j`>9+ zGG^zCU?UO9RRlKh2Zt;z|H>ZeMC(0_xZ?U^BpreFORYhF>>bw^1Ri$J0)rsHJ-fjQ z`5(<_m8M&X5VZLG=#bi*^EC z7IV{Y`PYB(jiyPsI@C+K!?}h|SaHo@#jT%Y(Jv5L#}!aqTm{K-CzzC@1kiF&5;Pei z>99-t>of-)X2{R5^|GhAw^5h$;m4AEK_vyyv`^}dlmRZM5^k0@mAjNP0s<=~{AOYWh zLEt&m*@^hk3Zx&S2~wQNBF}z38Xer*_+dQ)IP~E^65yd%V{aTCmYQC_j&rT?m+>fI z5X!A}-_7Wg{yI3@97Q3Wk*IwXh9y?m?6Ek?aWf=&*NaTNLHjh8S{Pk2g!%n&f zBE)3PxJSR&h1Bj3?i^d=C`rJqY8@aV_`E|49agOaX5`xjNu&MD?xe)*F2!F5b3YR( z7}POJZRE%$P=`6ybNV*`H?C`sJ?nfBQ}ZFa;x6Dc zN?n&lu32!)Um=TV*Fi?T2pR_@HK99VXerhCFL*w5$4OW5LrnnsZwhyma+Hnb*;bc` zi0@VP!wLZQ*I(F)4N|KWmlY*z@x>*%YD@zNShs^t1gXX1AKcF&BT}7?siv+Z{jQSG zBw==7MH~C#^2LJraD4-&tNo7j+jo|LYZtqEr4@CNU7|`#WcJH>RBf-pI`Z|9I z?-ixU6>w^NP8T_(Y}=IEqIFO@+W1@5py_4*wfoe5<8jK^0Y*+x4#WD62qoJ7!f&f}eNA0cNr#)u~@0A$!O+G)TLm z$(_YSup4-)OntRTDtgQCpvMDZ27Vbg0HmEuI3#gkD3{Ku4O->o=E*u}vu)Uzt#okz zax{mDLi5DV`B)nsruvLxK)qbW%D!Xx8p7!M0L71Q_pIZoXjZSF^dXm zSn!BYE!#@|q11Tl9N%AtgGHJv^%fEO^6%h#pyfX^$gW}wsauzUJNO_z`ZIa=i~1rl zY2!+!cT1eCN}DLJ+UbE^T#>GFYP+KJIvgrNAE0_tr6RTexw9LhHH`H#z|#}TodIHm zKtU_``<+Yp)gBu>pL-nB0+sNfI%tpbGYY3KaY`{ZdIFh-@~Fep;c!HyUk1Iq1!0oD zp>(j$?4E;;SZ&h9DKw6;2{}#YDSz}c^58pcf;f&9>s9DmWBD3_(9=RFo%PJvF&FPWITas_;z%d>v}uBH}qh6Rr$e_p(9UZ;sZ=E}9x_vWElf}zajTFz{i;E%?btCWXSt~8qiE4eT0u9bBAGe#A?sRQfilntY z@gq6ZeYTjpSR>{lZE36cFyULg142$|8*<1^L5y`wxe!T?{!>fl@2|h3@6EZgQ>;^L zP}BlF#-q%A_9a}Mqg}7LhR%viaqA%TXIL2@HuYLyl zWc@7HNzdN+??1f^0R`uiVtNO60iZ9Y{Z_;Ca%5JdTF(`}FzrA!BcFJ#T(g~I!c}~C ztFEmFsw{3CxtvSwfL_ZVhL|7(SY7WV4)h^Q>Y0wZNvtr~;*RT#ZK=d~l)Y@WeT~N@ zz+Cl(o0qsQ-%O?B?F|T#aw{Es`sle5+Nvgf2sy;KXJ&opw{+cskjj=@=X@`Z`Hfk^ zPzPUmXX}B`=01>;?$pL{$5ahY4o(A^j~hnfZEU_S%52>w5?X(|2_G-mV%MC zl72;A_K8-hotBWRe9@L$5aM$N){&wam>>=gnFfTC{=BzPykB7oaEAMB#{y7HZJStqU|AR+7lh1&aLto%sWKY2 zYdja*5U*m^Zhh<$33}OV9kyNvqin42Y4?A47I7XxkR0Qad^?E0VmNEBO~o^&z=FG$ zTR}4P>n6mlI((&{&$qE)rc>7RYt6ME3cMRoYoc@PjHXzQD=R3by)n}HZ3o1(FL@qs zrBfl$>N4WOCyYZ(6qVyWo0@dOGfbRK{9;;XI>_6{07P)DO^6x5KbZJzVivwDUZX&KZf2~hKTLG7R;0XvL^_ex}ZxvB{*$ zP+FO`m(48vnctvoJ_9U2l_Gfws_62w%dnksQj-^;i)x^=7ITZ!a`}RWR;JdYOn=$m%egLdBuQsn;R#mxL`69l z*vp)iLGZop;^;|yLc_Get2=FJTT@hZd$-g?HQE(k-AWR$(b0pGc}YQa)g!JRZfFY7 z)5_XjznG?!N|Bpds1*Is>=D+~WBMOb8vmUAXXvSehLEolGM8^D6Cbi>CIU zO6z4*xT1Z>U+OBFgkI%XKN|7&ic~hBs#q)BvqWbc$cO8 zndv*rWC?7JCS21?y!}@G?dM~Pb=oOHzQBTA(j9tzK1o_Lh3S!3^n1{!YBkr;cG4V$4>vk!cgh+)v z)Obyi5EE_`LmNuep<5f{L1crHslUB%aXavY+sZPtFSlC5hA0o0W#q++1A^J-;^dUy zDKa^zeIhGWTh0CL_$wt0b(hC8<+-A9aaYt>aR0KzC=^W@DQ^732Y(#FY-xg+{#7H=3eso$MRq?Mv*!EV0p|Mlu^3W9FN z>VphLPyXKAm|qvJ0hiX`%8*YKdXYqMEL|2GdndKN3b1YP7!l4{R5#cCb% zQm8~x_Lwlln83v1hq2uuCy8v?W}L0*$x!*VnH_KJB8LVZSEl-R*i8c1qS!)94jP}` zc}j)mDcJ-lXZ+8$KWTZK*{$`w^^#b?MZ;hTQM}tT|FyPE)ZhHr9nNtP?z4CTJvanN z%feM=EKQt>35Pi99zKDXW@N-VQDEH=z^9JMWty4|$A}P(|?*OWB)95j8j` z^;QJ3VJeDgsq2^vt*xQ>e6hLyO`$(8w|bKdXTH8p{Fi-a!o$Hegp+(Dk}Ls^K?(YA z|1DEl>+c)z$0t6)|8oQW>mT6{cN6W*$&d%E^5NjFIGEQ@xU8!9e6xatz6s#fn#TnH z{y2jYL73Kc?&q2XHWt>z>4WcUo|=D75blj$W54sRcD@ z1oUK@>W;UIH#P6J!T1?XD;PeI`QI14LCn4>`Xfv|1%@ZgMl&sV)WR35sE!g`@L*B5 zT83P=3FdEUEJJl@aShnh(Z>@2;ctIa+e!J*N_83Hp2dsgzacuP8U`$DEQ={1Su^wh zwv4d52Cb-t_}1+3s}BT^_kO%Y0eUt3&?_&=?4kvkb{AwL`kgS;NdLq5Ny9yL<@-v& zmz$0M>*)V^ZQtY@#pq)oY{YB}TFyYzRQSl$5TQL#L1oU>^=sl(azF?15Me=#$HD@% zQ~8hxY38HQ-<&z}O}LP6^4+_q`YW4ccrtLG;($1F>wmt+ zO>giJc|??t>$`4AYEtpVHJ%sy=SO5VL}{=L`NmYHiH9QRsdo0^#BYsKfQC@=7QR(0 z1uhjOOpvrH1!ZQciM?i#cHY0AN1vxcIp4Jh)N#CE9@vxk)>Y)h{i2^ZT6GSEA`{Ak zZ405;Ad)3UzzkMwpZ)9l;Q3@65oxn;4%P0wGXgG*fY%ij=QTnfg8^-*FR5Xy%GyGZ zj`1*5F+!0bO@j9CH~rVyn-7Bd1{yZ{K+x-O+mtKHO(u9b37F2h$jQwu+!s@V5j0H{ z>Ppc?rMg}z_RZX8Nu~(`y5$h8QNk!MW5H%>$=a>dwVYjO-Hx%QzwebH%$@k6 zB&;0sM(@Gd8@9$&pUl%eG^%05SQEr&mZG0f`zk#1L6+I>!sCtYVy~JaudlMZ z;RJD2Fy2_s9^~gQ>;g%mwFLMhln6udgBeu3R0w_;M~Iot>_heJWhKN9p}>q3O=Sd1 z+Lw1-G8QW}i8>B#t>)u!9~MPKP6OpnLot$RfkX$2pH1MV|4Je53gX!e^a z$=Pjf&2#tTFTI{oxNaec3*ArKPJ>!#O*QZT9JprWz&U0iKVO7E8rA9YK*pFXyJ;+9eg4Ba#jbku- zg8T+SBIQ5U5OtZROwpwFK6i-a22`CW=;`U3phk672nm$95zY!XGR?N_>t{W;mHW$3 zP-_Bd4>i7$PiNX5RBCHcIZ~C@DhF#c(WwVrTC+U_?YAzG2S znDV~(#%FTQ(D~I5U-t&z*WOn`)t_yf#t;&%Z8NOJUt)ww0tmmFYDmXXc{5ZgSfY(B z*6(5H-!BjowGWX6ds#1D$jnbW;P9~zk<@{mKyC|{_DPuzWy%`yjKPgVfM~N}KfRK6 zI}<6WQ30XEOpO7?!?Y{x^OkU>J%0VF{Y`R<8~2-<#KU(Pm1Z82VD#P{_SOF5!|-sV zZffwh5>(x1FI(b{gj{8MX49Jx|2URAhTB;83w^6h@F5&^cHYSccUh#j2h^LON}YU2 zQw*_t8_RF7b4rY(OA!KQYwRC)W9lwm;**89oS{vcEj#%~BEv-e0N%?%(wQ=Yw41W| zOjBuO=h3g1()>tnW(2AXm-12^+NWVwm;f>`XQrc4+w1dJJMtTX62Jqv`s-Cnd@WEM za?&jId+5SA_Z=}Q;PTn3 zNgYgxAEjDXjKr*&u3qt577)Yx9iwfQ#2!ENC)9RmWB+0lV*GPmsDm$-@;c*VQ?k{Vm&0T3I96PTD~t!TK4=tSGw>V=KgZt}#vJ+S*&mbc!<>#UqGq0TnCO zs(3NbM~%6E3>jd`Q#?eJsVQDlPdf$X&^Ljp*BpoVu*p?Dcr13ClNzoQuZ zg{ep*>Hyf%CLq)yPuYc-G_?vnfQ~GRbv2wBIUp9DeE#c_;<#1ta?O|mVq)8VI)HS* zZAHCRffUZbEs8!UlDa;Velt}R<;5q8q(V9JyAmy2d6JrRFq(1021R`K z^h)sgc#v+w`R7HZU>L!uJ_ZZ+0$l`j*?*=P7}bdsb)F(Jx~0Q{pbnHER~z=*U`oz) zdptwZE(ysQ|JXD|%@8+hS$4{j%}Wu_#=sY_QqA}XWT$?I2dL|3rTk~_QKD5)`HyuQ zK+FviVMVT`LxIN~;ww1BGqMPSP(fiob239$@oy129uCLNK~4wFy9DK%v%6Z8A2BRRO@g7it0?zh zmv|G)Y4I`zCT}L4G0%8oGMNxolHTuATTgykkWym&va3-Ob?B z;Ee~ApS(>)h&XL4k5sbw2RLwU+&#_ipy~AVkQ-4OqlY-nrUfdB-|ZXlIpfR^NLXdV zQ0k$i%resW$VR+QMl59ue9`d@sKZ5Asa(SiTpR{7lvt$g{QZfg6syccAnd}W**>7O zrEM-lW<`?}Ddt4{;>(pAC#L=vH1d<`RtP|e)^0y<#~v8Q1MZsQjSvFet1UbVgFNxd z#7I#I;1uF*A2UL~)T_(+9k2U}r9SV(fai>9p>u`s7@XJH(Qhpzp6k9Z)*fqWLA9Vm z0Tn^%1=KZ1m2gYbaltd?_Rx7o>mpMYd`Q_f?WV+fPw2wo zotx&A-dFgO&mo%tKR*WD%qGz8(?{0zl~M(HkK3d-ZU_iwyprf=fXv$~_d^xLOfNr7 zkpGEp;ehBCfDdaEs!dIS3VaiEJ-_YHQ>2M>i~e9+T84SUg%aNwv>JeM8Q%mdSo+Iw z%xC*{gur6GtaX9di$C%$?qC;^IFv#mgsN`8%NE|2xu@@sKLJbye`E#j_PFSmU8`@_ zi+CS}-~K4?6uMmsMeumJQ7qne;K=SafpoNkRJ3OQcoFxb1$HTQXO_$WINXUf|^e=H36>Pk5~7qi09A_-T0(M{`HQToZ@ z{A?c+9*QllwW8r`_8>a1Z#&8aB7d)TFlPg-NVV=R3kh-Ad2QW-?mAUdI4Jy(u+%cB zvsf~IljQygxoCe*!OQqo4$->9S%D7Ll_^+46@u4k_gK`W)HL3a|BxMRaC;D35RUXL z;Z42!XTU`)3$kxQdst@-YVgR>fWT6CySOG3oyV48V#x`i;Vp;4esx8YMbCxIzy!Hb zU;kII;qP1GTXk;gK$RJOiAmS<_m}jY{PWthB|l%kL4K$L{=ev_J^LmgeUmmTQtzrE zV=pCNqX&nSLE8q_BSU4dZu{+dS8#7C)>`6XKoeJcI0_$hlw6yY3MF$|i_9XCxYnPZ zA9de6Qs;Q_C<}Sn_usycKOnmNln@F8?(sKH3?)5@k%`mOPzt(3|EdnLMK44dK%`{$ zzWyACy_gUv4FC0q2q@FumO z5&GMBeSDxf&OeuF3EA$^uPbi)Keqkvx48E%2TC6r3WEQ7NfPqU-zRlyin`CDjzjqFk%_fZ z)mVCbYi-=pn**tf3%<`0A0yax`Ad#55@S2`l>+XF3WC*#u5y3>4)A9W#DmMO@^M8i zX>&u}ZmXm~-y%0Y1gU>j!WEYb@Fl*MKm4ac_7C6x6v~5-dt1L%MsGsBD9sc+kG$dx z?3Qqq)l?dL;4Mn+91I{ULTx>k9xi_PdzP3X2{j#|iF%ng>-?RjXi_Nl!D-b&$`DNu zmTO5sv9k#rt&ore+#uHEDp1RI@B|$+Y^uC66?l45-a(ZjC=&cf@^%6KXWeuWczW6kU2 zU>X7~p2*`w7$4=5bKVskHDBaEPGoj_)Kha+71jU8*IPhUwMPG<7N97glpqpHw*rc^ zh=_!AZMr+8rH`PZbayEr-ML9osZA*o(uj1*ru)t1|K4}cxo?a&#u;aL@US+lwZ1v$ zFXo&_)lJ8RpbDHQU7@{ph0oR{q9_RH?;U2-u9)GX-Zd z#!O!y!JQ-pWl6tpyGLoK2#}(sc2g5Vf87PdMuylWk*rOMAcfj0_Es>FZ504q6JX7Q zGGuB?bTAp266UVvt@*0f7xMknvJyY0%fmyO#v#*?&1A92vR*^78(TM)qHB2pNyJM1 z=T%cOZD)(1@}^sdxck)ix|YTJ;tR3W95$>$IJCQo_Ko{}cny1|$4H^QW{XQ+drsE4 z*>m4H>nqs=Oy_a#k~(3jZ-W4^<%4ghy*~+DZ6==&#HJvaww{lq@Ib_4?&aal6mQ_i zungPg<0gFJ@2|r|nZCG*p^Ifmr6o;{jhuywS*|QR=_Vp@dJJYp$tQ9op(`)?O?jAz)bj-Qkhv!>@0Z8jw3k`7_6EMZ~9*tel(<95C-+yqRxNM|M?)GB~PFj zjEOD|X&=O(esLfmTYAw0#>`v?q8_yYxt&pR1rl>)ku^?iEu=HCAUH9c-obV-1BBCO zJ71p+Zlv`=_t5h!F_U%XU9fK|9zlMS->p7(`PoS_I(5oJ;${&D99SD`6O<-*RLkkW z%&t=CW$`c7D}gP`O(C?{mLV*k`vRt_62ST zC9#M)$^NrSI4u|Z1m8J!aQjFBPn#@K6#)o& zD@?W|&We$F5HNW($KL`&niC8BA;8TWAuPaPIM78lSXQ~xG3pjB;WUL`Fz~E??-!A8 z{1JzWvOP!?Enz-e8Ahq@bJ9bmoqDvzo7aReQ|j%QdHvIap%!HPEh2KYAd*C?Mq&7J zpqWVrzFDB_t&2}$V23(s6X+Oyk7O%@NNM9tOnt#mLxx~LcfNn$F$y)r-!L5;>6Dul z%NDC?^llo?yMyvie1smZedAI(CEgQ$Xl(!Rg1s|WE&3X>pKJMZs%;))~t%qJ#*h&Gi&2#BH6%=(ixx2Dl7NuK@o zX-X5ryHJE$GgEH1Z6f5G5daVc+CLF zy)WSQf5^R^J3vyCz9(%IcapGipIzZm(^`tiZ3sa_%5{XAgSaFdz_W&w%ouyxE64=#DE1Ju zF|F{|%JCck_uR|UcP4B?gug!~VKl7|yWkPGMVv-Qp~eyp0>O`paHC(wP^3~*dVN)* z^aA4iZ|tb2|Nq?j{Z}ONEIYtk%!GbEMXFf`q&6g)-|&@-NIIrzg4&1PyP)jT_AjoX z8DmmRbRNfBs(sv|Hvrb+c4-kkT#3>-`&{4`y)PnpLaS04W6o>!7;2Y+F*W%D}Q^!^%V#kAH8II4ZL)bOIdlxSC1b}UvJ9UUvqth zka+NS&tc$wzt?)sE0`9W@8!|kd^KmVPU_yB_(jXETr1>~z@DnXB@N`@=UKgo?0=o? zbGp-*x4ouxX^utYop2%YQGj(a;c|cA7?jR=N-^F!vtqgM)T%+~(W_?STHc`>g&CI$ znP=&)Xq^Ck%s9)&I7cY75+1sH9XR_k)$dYdYm|FB$BPQSiA+Kq_Q;Hsev~8ktx`rR z$%2ZzH3rmO=OP^wrmHC(TWx{Vg3YebxCZizEXMl$=?ebmfDKK2ZuCr~WjY@)&!H73 z-jH%4Zx89q*kR1@tuUq-J3B^oQ1@o+ho{1=uef)3{3ySj@japYON8#i zKjnk<(Vj~LFwHN8&()6k3U#ohC%z1W@v-aYl-N>dg$yEO@=T}xYIBizE1$?afi9q{ zbWf~^fUuDbV;}shG_eIE?QXSNueEQzp|sPqLqq991P1vM~2GYDE&1gZU9 zXCbb!LC0vS5hPo7c>5c+gcf{ls>fYhV4|s}4y-$272^lhY8sIw-FKRT?+t3^>R(JW z2V&lmxzoTD?1Eg7B5JWipFX(}z9FL3JGt=!aRkiziYrY2{tPvS$v*opsQBM;INg_A7qs51`5p z1lhdghzuSV5sKsm$igN;gymhw-(k5qO@3VVaN0@5!5 zuH9eOKlQi^iX&OfcfMxqAyh9Kh(Hi4b=n3eHI-?L$#`GX7l#CImtc;wUHlu@Bg7TM z=-3ONil{9ch4$Y0!h(O4!Vpg7Y~f>=khdKKREfit3(=gE-|F4OK zVAeSHB76`41*JmK9;8SuWa#5xkqhh(6NW$`b5;RZm|(*wmy4B^2WIK(yaju_S;@C=A>TIoOy zME?b*ZALH6$?9|5JTV28oqGa?i5h{o zhyDw!7)U1PN2F3CXfWeg|4-0*5(BkAjdAeZKzpCfBp`EspLcx3ImTRZew_kwVvu{q zM#Erft-m@v#2W3tSQy#A^p|?fFDR2acsmZ!C?#}uY79t24bj!kKiXbUCwVU(ASD$- zBRNX_Jr(uXCWQK5q=w_w-M$R4d14wiyRjfC)d>89EC{$Zf{DiL2%171$gBuc=v+^R zP##9W0ka`ROT_v$4M(JDX_4BC8_ypZ-Il%w4xr@EK!@nk*rw7RP2sg%;q6kq30hVQ zgcC)YW9}wUKZ4+D0=gN&cp`W>K0R%Sg08{#_1<~%?$ZEe`OpJ;?CLYXQ* zF<-Xe`H2yOvh(A-VCruHFjQSf$c3^##7X|6l;aDcJElsVc;Gt^Dc47J92zaJ1Rc7Jt` zcp%wThOc-Ky6-{hM6^g0@_)`pvm&C6?ZL^8iZlWIaw=mWu+peCuZdN@P>s*XmNf*_ zd(zRB;*!t!2w4>`N`vsBOv^I__kYrP3)_Ihgkun%o^y{2Y@<*s!|jnN2i=nnMHuzE zZ@B6da30?{GU<~nOk_tBam`$ZROwcmdRrq|UCT1d2)@knR~!T$_}NhT!V#EMbacEn zTK=ZgU5QuS4>zQ^czU4#YJroGVnUz28xdr*kMN-m7jgMTLx)I$aF!xl0u^uX3Xg=Y zd#`(1HvijV)7*_Phi?Z}0uP?wT&{)u=4qk{>VPuTc=wdk`R074v&D`rOF<21W-Q>` z8rG^I(=<6jXa>lMsXC|^r;uFL>cME!qJM&ot5{7-dItxQPq%lvPhhm&TgN6+nu+zV z25IIWvkvcr${0k)4#`*Mr6(7Lu91E&2w+WR#g?6WYm}_~mMr_5e0|t!J~X1gWxo&w z!x8y07hfT!+eKP7-qCzN0_<|AYmA@N;ijX{~-!PhCNH8x|Dy23^$cO7)_OD zeJuX??pK%B=8gh)VT!7?xug3etnW+l%8Pt92KFpCkXn&J9};XJL3^=i2!u&V?*Gh@ z8_XXPKMW5TuzmWklF&cyR(@6nUpHpj!^C>_CX2B*sT~AFHbi-vq4^x}S@VJ~sNXJ} zNuDG;$~{<0SxcRug8o^mgy|$659qPso&(a>2()Es@~%*1`gIH9txua)dTkV@(-%lq zxs~^(FMfc>+OF*0vb_Ms3(bp$-ji&Y3oTjL<`3u_rd@3ldrt^G$ZbPBY^458R{JO2 zvVAue)5p)A`1-HK0$znQQS~;3J88{Tzb8Q_lr}sBBh(f!_a}-p!!@sRB-P)lR76nu z1Tj|=XQ#MoIUsfg2q(`Gmmo7^8PU3uXc+#C(RkMgI(FNrhXf;87QuRvO^FEo$PmZ+ zRwl8~`RD;H+VTHH_1a$K%~ijze4uQh&K7~z{%iI^ETfuG-34XEYO(FF&F1eR=qonn zSbR}hsZ$qc*ej=qe7f5xs%h5dnw+a0qoxKeC}J(pewx4$0j1UAOqnrYdRoR#1B|U> z>21?vox(G(_?Yn$+R4F~k_ZQsf4`?_Yd&S;*3%->npeN1g#Vo+EQo-H?m8D28L1rz z@_7wnLl1-&fF@077LO$3e*Mu}vqkx;O6@cRblH=)tb*SCggRm+$yqjbnel7VUtbH8 zS^$+~4(&+VqyY0hu_B!Z*P|y7SIQNzO^7KpELe*3t7N^d)Gx>nKU_zNhh5!#QaWZ) zK(;+E1vthq%+j#$%rv9`rQ4P${=>4@#O{CIpZxLW{1Y_JcI3xSbriJ@S~g-(e85xk z_@ibc;&MYwllAlqm->Gshy4;{_&>iDu2_c4w^-M+ICM6Qr--Gd;4vy|4Hg$IzVQ78 zN((y@HdTe!1wGp{lwm_$0;0WU#oN$m-h0TX*W#1|p7ak^?OIpPio zgpk_=21Clo>A=VpfKDuveH2{OEM|y+X(CV$)dC~VFdV(ZET;*i|C!$<^mRqpNg)K> zj)UE36?tiFIgcKQ{ZT@MqQ7i>`_Iut+aQrO8?K8 z-d{ZdiCC>UqLceQZh=Ux8E=+o8Tgu9D+hrPD()W)KJFpC;E|v8h9koede`0jPPo#W z=m>xr9J6R5MXY<_amk(|;7KOg-Pu@eF3u^$axj~+ZP?KWd7MeslQyyo2^_f`e>Ey- z?XXCysX;@dg|ZJ9^s(d?hKDUxxjq$Hv#R#n;o)w_k;F>c#|2e+sfzLqPb&Vcho@m^ zEN3I+$~Y-JT*QV`3blE*q^bAdLqj-7H->v~MZ)g>mSHc9ZuNzr3#+Uz;xY%@c2Rlp znx-4@&w>=6I?{^B8<4px(I6EE!Y;hLpMawJxW*u2zW1Y^*m5dFD7KJvmXCv;K6+=) zoNh@!7FS*P@H6wDtgRX-=K_&{j@netl)10|B09I~n@Xs%(f&6*eYObR0&f(NN|&kT z-a*MYh>Dvg-=!^=1yaq+nt;`2#7QwW7_fPSDceNIeHp^QxiyvuUM~)+U@Uuz*iUnw z)<{)6`P@gPHv>O5i;FN~FObNEVqF9^pMix^;o~aFuDe`U`^g3a-#=bERb`JrG3M@) z=T(FZb3W7f4|WIJ)Xo+u_mh^LONfN2FJcBmGgH;!WEhE;1&?={#Ye}y&+bEv%cq1<%4=IK zhyN-t04w6s{2~G3<F1x-gi_l*+Ft|-r zC>9D%9!~Bmod5kD@QVKdv&i8u!SydTqcBf)P_A;i+Uk?)>WbT4JGPbF94sqA?h@Bo`HSVY? z_G%@#{k5JTuIZB{?cg><)xv5FQIr=}^vTQ?H%f>kLDpHr&W1NRz*oDc%K>3`7TUbnpukc6SYAhUa`p{xfba;Y1wVuZ7_mOdn{r7PfnMNi`s5F$!!3O5i4~_G$RIE7J|U)ThjS%7;vW! z@&+r&D9&bb4+E@;RDKSQl&6!zIc7jfJ5E2sTa_uhsZNk zjI)XgbRpa|Q-1w}+7E0VpFBs{2^|D7aD?q}B4#OsRL#crU7Xbxpar1mFrcOpBjx}8 zGt?CN98E{qTwR-rrxvB#@GG*?fPMWhsR7>$ZJh>*ZAgROVjXVCxAi9Aidtf|?NBs} zLZQ&dX#}JYml-IT*r&E#x_?DVxRYFVz#m{OCXMbs?_iAMfQR+*f7rII`~~jH*P?QE z;`!W4_k&Mcfj~1twED2YImGaMFm(j1K=jDg$tR?Xaf=fnd$WX)yW`fbh|FnU-0ocjJ|P3R8DFn6}G{RRZLwKb0(Z-{YwA%?Kg!-=Ke8D zbI7c32Y8Nd!h2Kx^rKO*q3j+tP)Eys_Ykq9+x+puVF?r-S;DnIsj8$Rc0Kydy8%GM z3G4p&3)DQ!=4UE}dHD8v+!}w}1%pCd(^7_Sj40x~chB^704bDl-xdCied-+x{GRhF z;xooRo!p2@J7VbQQxj-_kLq(lu%3J7x&XWeQF2HpQ7m_!79p^o~Q zNZDAarE-9*AFV^~9$rQ=j!+}8qR~xDPDtbU zhxPw`B(*&?HO210iAHiDYcAnBprJd|?!eh#ga2PeLByr)Z_{92?_V4$21yP>5An)B z03HZ)r32F3;%?G^F|GaC=e*V(*pPRV*Xy6ZSey2f*4r$k2P3&)p=!h`e;Prr>R&jt z4tWdIJAA;xz#z+6hAe57-a#g4)aRXw&w-nbuER#-92$LK;S%Je54#9xGi?Vv(q^B7iUIU3Ofx zV;89t04 zI9ITORFoe#S2*pSaobJ44gPyRBcIP+Empm_m?_&h;}DB3G9mIaTaZsEY}C8x z=NfrPTao4ELcYY+F&cySK`02J*r-Z9NQ;m$1d>Ih1!mwbC{bBc zKhIs}2(MbHDLR;d;JC`p^Z4x@Rf$TW%i3GW020zQm>u=p{O-d?@Fgw+M^R+((zCj9 z#Ed<37cyI1(}=(AdktL|wKmA6DI=cPgZu{9HAH#xB@szP0ki5PSj8xM)F@Eyu34 zA{EMFqmD1T(v##mfE%6k-5bELuy)jev6E5b9Q4<#w87$m$R3BV^x#$a*{K1gy=Vh2 zJQmxaCLEXVr^SM|-81m{zP_m?;>$(F3QwL^1+vR3rndV)R)=^10&FNeyoOoFs;|Vd znQr~H#oy6aD3O@X;9nZD>_o@!#*RRvHdvGqS#%1VcArR*KJY$~T}xi<2sOF5uj8m1 z)k_TxOtTRO!Vsf!h1D=R?7^U#?+9zz{mZ9!tKRAaA+nud zh=H8D$@9q0HL$}*VqVt54K(*%90OwDD8@-5#Cz_r8sfY$&ddEMvx&DI z#_nJAO&zi7`3R1C`%$O< z2~QT`y3(C$eA|vZO3c`Eq51Y zp{)_$7=zHzjnrLmsK#pWKk?pZgf6-msS~LTC-HCit-Y9njZmKaB3A7Yi{pQI4iTqT z{Xr7~U8xb{hgFXuP}d2ea8s)5c`lAfxXoJ(TsdH@?&--zwBaUVLFU}W4C0iikAItR zz6-qM62#+M{35irD3|m<+%YI;GoL?{Kgwh`nd53k!^-7~?}$Fp7ho=kXWK#qscP$N8T1`7dB3tjJY2E5NcQTBHMFf-Ml|sc zPGx@^+$86}-q)QJxFtPw_%N0zuk?!3@k6PmS&0X-9Hox~&0R`Xb#6GzYyJozNBF@i zlxU@2g;_^?))%PaG=6kH?6Ft(sPbJ-syk@cQ4lBOLArf&>w&KpV?pw2^heRM*$ipX zz=h;6c{blQ!*_B!!m$gsUKTRLJ3c%bv9G~+$Pjbh#ov@Q0VR!ZLQcf9t5zoh7Ru|u zMi0c9^cVt!m#00t-9*H~+BOqCr}MVt0h6bGOx#PkA~%kR82_Gkwt1f0J=>CK8kw0Y zsU}yb`9At6OlHv>fkWWg_8#ONIohHD^}K44*XZyQQ%J}KDCTW$QM0)(-b zxU0dgj=<;04ju00y+EqS-0=#}hgAKvbmdh^U7}v>-N3u9GVCG^SbfP|Iwvz#jl~@< zKic-&MUa{si2#xNNElSsS~lc~qSV0?_mZN(MyE2d2B%K3IOXP&#l6p;$fT4TAnfqa9hNAGN& zPin|8`g8l|K>=J}1B+0DkWRFY`Nd)|ll|=uzYhbpDk={^(3K(iBz&>%cc@Sx^rG@r z9y6&Ff$!+}$2MZSJitf4oI(&uqvh0Xd%0<_M2(a7Vx9xLo>d$xdtQGf#VC+9@>~(v zF0pUKjAc-3#9p@=5|bxkMnO~bL^Or>S%GLGQw8_;^_2H*G-Whq+t0PYh_1*UR?i}r zuy;7aA&kMC9#rceRR?w=(PhH%^@>Z-bj#QRH#v6Z{swdPAq#$o+)m>^=Mu2*mFI3o z|Nb2&CK~e_7!u8zgI?nEAOXlsErL5bH-TkMvin`ty5IE{Zl1U`cwaUcR&e= zIuHrGxBX9(<97VHgk|zTZ+vv>=bKMY9l>|+lO=C;*f0C!K5y3@iM*(#H0bx&qLXogMSLaU9Mg%c>*5+_Cmk z2fj9%y}Wtz&FKB2Q0xniiw7hUpZCK86D3kf_ml991r{LIMUdik8>Ksgbtt;&2?4n{lXF3$W&y~9eDpDaCv3*iRPMK*Ma+0ak?opfv0~4? z?~rfQOZ#SrkSr#)`80c{;22hDW2Gh%Tu68BnJT3@;PCDO15GS$<^fx8?iHl}>tHIO zG51>Eo0bvC*~$pxXBmk``V0VPc(_X%>&Q+(sUX&%0X~7>VcDnK89k*13-}v`(srLX zRzctSnW>W=+!wGI&~ga9JI~HL1e)!y9wSEDk+UX_xxxzpb$-E3doA3N$+%lT!H@dG z-qP^X1R3eg0%^I%mY93g>?A)!9^WmNEB(mZVR}u$_3{l9{&(i?=FHJeCj2K0_%ig1 zR&CL4QwUvJ22*=N$j^R+_Kj&Nu>+-pk~9Z#MwotRQKlY+C-78*{I!W0r-b^hv=hlK zM>iZsVsx~WqcUBt`N{6ByYrgY!tgO+Wd%3c6*%Zu*}|EkS;!gN?T#c|FSD5NyIOyR zytvIU3DG=xW_I~{K~O(x9$VV{)+4*|snlgA%uAxVVYFc|Of0dEn!`Bt{S@h|VUCGE zzO*PI{V`dQ1DXRupEj{RT?gLVx!~GJ5CR@piN~0KGA{bR9ytE!nivu6!_B_UnV!>j z5T{PQ=-sb?8Z4f=oxXIS)sXwb*f zvmzkmz#vf4aadYHK1kny!$d052|?w8>X%m|svc2ga}U_f^YJ(R2#BP@?7*C-SQDls zzTV$74p(muWwWg>J=0Kpkk3ECJnr!6EMDehbneKI#{x-5kpe^AL9D5Up61HZDBBM$ z%DZzfsZIKB2o#DGv#X;_W1@==MAxximcM;xM+E{)JmSTyRXQR}@4itr<0M|Opcd&YQ{u}`90Ehl1#hY#E1egx`!v51Yh%_AI$GsneRe@V*KREXWmW==u{ z$=2m;qnF;0V9?8)sWlV#+MVJH;vBtse~;2x;5vcAD~Z2q=+>!T%o3q?BdW+ua4_{@ zK(s`aS>BZyOrSxt!4vb3zx#$kxt)AQruB{PqM%9J=H}6Ma$}$|{S`tMMZU);y%^K; zf>BQf&*ElCysXEWl&N_cbe3Y7N#<_g%s7eZ(46 zRcsk$*qPfA?}VGQ-M;wDHSk=Z`s%y%?$U=AS7j;l3X8KDNE9|Qyncu+pRcbblQkhR z$0~chmG${}IvW57f&<(jJc=t4UM#J%S$uhq(`H}Td3JF0tZmS=B}V9Kvlq=|UU<_*G%rQJ zQiin)q%zGRj^#CBth3H+IuN(RO1qWKInJX(-Cmcf#s($#ah@u;&I=a{&G0aA_P^&y zTir~RcHP#}Q;ogOb1!PHC#sjXygq&GkLdhNhryErX{l|6L{<_3>Z~AVSX8Asj8?~N z8$4`!@;xtkCWSQmf)9I`ZpdB7L(3wD?ux~}fzz=w!T@#X#|fWmEVAq0%zoSMos>=x zsjy_@BBXU0gKwHZ{B<+k{&t=DgX~8BpqjS)P6_v|4dJ z_fRmN-Rk(A>~Z75xTJ2osd|d4nUlzmC#s>BVo*b~j(P%5URjSXd(3Y_F+*t8Jy|OO zr-Fb%b9&~Ill^T&N2xgW7p95{POqy386Ljor-=PV8m#`?Wl7NOe3Z_x+Vkde7qdsc z;$tnV07p61Va;y^!2--?lg8fQ)5579@Z+|iK2-d4M$)J3#xGylk&@I1QFRj=c83DJ zl4sv`*cS&Z6uV{K4g3IwLjU$>cFs~ij0!v5urUiJ_+(7! zYfY?-wPg=a*twt;Aflf{rp!_f&puP6T{Ql1iyCbkRfK4sWscEv1;)p{_X3>`l$x?i z9qB_hGapz4I~FwD*Vh6iRXNEAfLV6wEwMxn{{e;Lc+rQ{voeo-p6fO%zB(5_+u+P^ zzENGqxk})eGGip8Z5WZdoFjt@_KxA)47Y00ZJhkTmSv?dwO?Sl3#dQSX1n`LY#Wpu zPD>IU`9xXuaYYAtV?^)#OL0w|7*j1zED8*D`c=YPzNW1dB+T-<-Nszrul(H??bSNm z7d1BW>4_!h7ODH#2imUVi_2b?hZy$aOdfXc5b?6xHwC(5s4dq{j~MH{rI8iiuzh^k$J_+pG7xOGL62c>e*=Q3}w}2>o1y$ z?=qwftC9=ee0Zd2zU5SvTV}FE=p_-@2}Xs!3uC88)UL0qLa|@cld=*I%!!AoO7Iz& zmzgc4F3s`JKH+8IW~#!(dS<#;O)V3&V`A`zx5<1)BM0$0O14Cf-g*+oX^cFII!Y~R z$G)d<#K#0Qk(y+jbGuZ)VuQz6=V%kBR98BXQood3G2P>*FhZB}>UEu?(NLn}qg!)s zymeG8#KE-a^XWGJhnoCU>{iT`izxA2IW0wAW+fsPGS>Nu=ICon4*AblrzlP48{e#u z<`q5^{~&Kgw9QSPgyrq0^)6}Drq!`L&g2}#3(6O*8LrawW@dIHnYmwOH)GVA+{tmgpNec$YX`MC5NUHl~c(%_3L-XWnGO`%9c-$c1}DNesp~C zP#4RrpTTBbp*)Ol`}sY7zI?#4a5C@aI*WGUr{k*vXkUZ3GrpHYb#M8&ev)z8FX+0l z%Thk$6l!z8w`i;MdIiftAJ#7aUC!0P4yTT&Ll*B=H)TwG5>9iDk%N??S;%+x?@w~p zV^&{P@l>qsTxHqpYeYD&& zPZSPm(abkC+#RN;xCcz~G43r^E$Iojf9}hSnfs|Pi<0mLw!dtFQDnE*W{YbpaZ-~k$w_XN`ri9{ zEM;^cyJVT8?mJJM(Tz&u17X|i))K3xZU&Z2T!;y?3Kkg%Elur~isix%HVJoDCCdKo_AIO(7eWX%U`8)DbFh!IVtTEotO%LM_4Qv(Iy-QjY z96h+vAx+!a+kfOSRI9WZgtln&2T#nf5kG{#@@{{3f1lVju=+tjbz3t7H74u2NmkbB z+eEc0bBhF3zA~LO>a%&RcV>4;*uVau+cnGO2_<7TQHgTd;bf`D3x3Lam4y5J%B?Xd zpOqNYA{)(#Rp+dK(?D5J#{65M;m!I|RR1&VQbv{ljwt^d=s~lfcT6a>V~$3-l$UkQ z2?Psjc{gCk$6XmOCNlO;dOc5lZ=b(q)zPnH^@#whcAxf9yLi9^$;?>^!ikK{JKsrI zqUDaR&^Tj?zF*Ag7t~A+WtyDY7v{^}IYS5)vlam14FHCeyA`>|A<<>MA_ZHp|txzy1=Ah+o9DI6b+bn1zBdwj%ZdW;QPqfD{>87dwIpgOG z`8A?<9)4fc+o#u?wu}6FZWkG_vG{m+&X?Lw#8Oe{*~doW%AF(P+H=RvQDw2YvyQE*;{;A6SI~s znS||kn1wGAqzs~=hQD4Jsi6P-dI!|Xy{wFmqKf%Z+hd!diq$%4Rauc)GveTrtcHcuS1GDoeFIeQ!B5_bDC}#~NZ0(q z85!DHpIwU}Th{I&swr2z2RO$SjXz@@2Xj;36MWZLFptSkeJGYA##~qW zcGp9neSv@VYXo^}hVWBl7_v}hR`gShY#kl90IF#D{PE-hybAb;>^akvbmMb@IT~bLFR$=@n-IWk0ENEr&*{a;dZ~PfMdy^$U?e* zAFs;}>Ght7jF>%jj3tgx=i>1UpW>!oNZxMZPb!O_OWmJ8d$Lm0Yj_-XI{I;hsstzH zB+7YIiN6yrrg>H2*HOzCXD4`eu=B>QmE#GOLE_iIuw^#9&!N&zJRL%_Y;lF}-+1U3 z?pfn46`pm;55mFrc#GWnVIY|}eD^)g4&xGWR_4J+&f>@JbZN~uQ19jOnG~0d8K)U? zzKr}jd9#yXbXz;qQnu2!`_cDK$ES&JX=f{4tNA-@vv$V(Zkq;hmY!?5$e1B5vd?11 zSyD#61bb_vaLKD;9Hxw3jgg9BA{;{BdHG5mW`{1Y$8c`v4qWoEe}BZ@7Ja6b9h_dim2TB7lfHpT8DWLQ&M++pyX$kj zSPj~Vu6m|U&Xhy8!jRr*l;y`{)gJFH0ZhSe(sha`Q-Si85l_q1`TF)USt6*b=@zUV z6YgDCGOPR%!;(FhfU!vxLW?e6S+HbtXmHJQBqX_T{94b}<=KK0n66Y>mH(-tBGYP% zkx;)urFn^sqs4J;Oef7$)m_aoC55oFGCDzBy0B_AFscc=G~%UDt|+5Ct^AMK<#u5- zb3o`1^*iJW?Xm4e-@jYEXrFC}PVw@O2*D{L(?4N)hU+qQ)gGfLgTiR{mJqL;CnoKQ zMhkkJe;=c+#hQR#u0Y|G*I?zy$&Zc&&C_SbyQj7AFFPvVHWz3}OYU(mZqDAtcE`pH z^$mNX^gWAT94@0w-rJaSK3?pSd23%?@Hp1)#;>)9OqOw17h3R1LmD|Yh(P;5req*L<9`2-W1^)jL4oZ85^n*Rp_c5 zkC|~D+jfuqk@iir{C>~s+nwHkg~ZqQ!Z~F}BsMl3n2w*@M;>yl?jtq1)Jw;2z42X9 zvfeY*iUSd5V|`7OP9si~Y;U~=e3xJnA&lO4N2 z@zt8s{0{GulWGrUl{NpCEavNz^-^Lvv}F>ClPbg4FS(j6cskx}=Qz&F7m#IiKjId% zYC-F^Ys1xw%;xUJSn5L4z1(tYa*%4Tcd!WdF!)vssww1q-YkQs`t2ZDrZD=<0KQ$o zV|cYz%+XG!_t_(K(_C-|oG6Co1>|6`!pW0j_K0VS&R+Y)mnf{+MssY6Zz}!Dj~?jH zc|@7Ni4mVS`Su|LJDRDJ)g8si6Df;lJ3ap(-Ab&+k94pn@8l&*xKP|Vo?^ZP$? z&2*RYPL4sel&lrrlu7rvW?z_^kK&`q zX=(3#N^kGh-e6H`&`y-5J^xi5*L{YR*%6zTFv~}gUeCL*rMJEbRlqobjO`>=590`< zAhG7)xm7szG@B4zN$7iHTDj_7!6Br88`%_HM7;H*noF7R7}Ak)G+0 z9D`mxdqQ-AC%d)6T^nm1X<(3EWR+j>Y)QZTIqwGoTRfnBzdpN!lW`Dx{ROt?rSPvl zS1WEE`{o{34cmlOqi=RKdJRJ-=tgF8QVy&%FNWJz%hm9Gae2^Zfy?IG-=uPcrn3m< zh*|1hf1k6^NBLQ9ZV^zfTCC-BU>q`F2~9oLMa_<$8zj5eJ%v;Mg^uaMfxnU2}yW?V!eW2md0)1j}XXdRkUUt-X( zTVlAZ=c26JtC(tG%vrMNk7oF$FWvwsQ6-gV_VuLJTY7ZODt)#n!Q8{vW5_l)etWFF zSuvW4O1VXZW+#g~P;<9PHPx|C&3MMZi<49OM)>7}izYqBA!Zz}Hu3N}xW=1HTJ42U zOZ!3mgAs3nwS)C*s&3P7ZIUX@NgM=>#YTWIJ#THK8LK#y)e<>&O|q+ zUt7Z6uOVY7wjKMkwt|U}^tkGL-MCX{GTI#u)awB;sH0O9=c1jQC|aE==k6>8d+JP% zmwH@PHO_9rW{36DxK`V2=MfJZJi?o{ClquJloikVfzoz(Z^M)G?N32fe^w^85rUwoA{FN2dzY#$I__QT zWF4A>X6HqZRrbj_%u`;HW_2Yl0;a4aSH?KQ)!_RB=qItpGkrvs^aR2!am2UU5{wk< zG(_ma*GLIQ*i6SR^j}bHxLWI96;wZB;;?aZG3nH;NNkW9-_=r1e)a5Dp^wmz+*{b?pUYV%ytgT0i^jB85;%N)Vlq>OHaRUg=y zF=Cy1-qwXD`D)QGIj##h4RamdWL_C)y`%L#R*~sqsy$xnLsqlv zsmtCsM^2;d5j$dSRmAQ4#&Sg*b)wvB%hv67a?8n0+u!1pU*t!P5V$Ch7ZgQ}ka%BU zEED}{6tDZvWGHmWzw**Zx^P=gz}D?JcTxc-VODvZ`ApAyCdAcaLs9RJqQ9d#`?GH- zSi}iyB+YUsJgZNM;xDSall=_K;r@8eY~-1HVOO|rtwi$i;73i1qSp@ZT;Foc1&(RY zIuOxrpmK}CNEEyyth*J^1_g4~>x|WoBP@lyt?hkk9^op!xA!LR&g-azIAJ*G&tW_- zlT9d)W7*25Gm|^(l6SFhS6ZL-jZLTc3^q(lxyslKxelBx7kA8~X4K>jQ?o^iHT3+_ zQPC1EG6@4GtmWjZF-|W1=aP8xkDY7@$tx?U;c$&?2nQ$)`K43$CpNhRD5c%1_@{@P zofdl<({yi1@S4n7vS$paw!KmCCttbX_=h9PhFVws#-geY^R;r0lkBfquT+~|eAEM- zodwN?A~XW#lLL#e%U6>aiN@L`aY;O>8(+Tv&6IiLc9eI5O$$ZEMt=y~v^irk zBMvP_)aX7i!COtOMa)&?^IO>ucJ$as_8T}Dp(Zw&NqG5EIEJPYmwUX$vtD5W6K6JF zNFf~PFaGXqIHY>~i}*oBOyvG#?gM!)4>Flsk}A5-ughfSH=_mBq(L59%D8DQdnlt6 zznmDxIO2*KAoN{0F*o#p5u6O{-O$@FSUJGNQ)K?~gUE(BMdL5s^&3OwC8haaUu6&8 zmee=)D_k|*p8L&*%tjgsWG5VZXu%%yYw1#bRnRD%=~%jW+F&46@KmP-cQ8TCGpwP` zViWj6nPi!cYs2oLUYK6`Io^y?FsT2qI@=f+Jk#?F@gxLIVZ_h_31-o^8s3TO3w)eW z3T3VHrhC_S>mn}C2x*{ZZk-P0yYmTXy*|6djBQdAW?odqkLWK4x5_9+SxDx{ zP2zSje%9V55iXU>nrYJ3PBMl-W&b%|`Bdr{%g6`)ZHy$Icim6U8Lm=yAdTW5o zS(Ht~2ZJ3w_46FpZOnP%EBZ{yZlo6fD&_3H&wBo%j5|J#!}ihAp-%BNXZh4p8-thH zmMM(3nvaKXn5G-?j9=`n@K_(8e(GR6oA%)*N}ga@Ns2m#>1|cKDWgxTCqaDsBL_+O z!80b6l_TVQGQ{wsBo^HIcoZv-xr0-@MZ)iYeIHf$&&P*D;hjY1Z(z>OHl}{=I*c~; zH>Q1KG~42P-?z{G+Sh-27$$ajM=NdoW9Fg>G3f{C=OYNKIH?#2oEdp>>dRV29($bx4eG&!PXnhKY9n_7lUN664ZujO8fVeNLYe|N3PA!IDD+z#W`(h`~3f~^&UV? zZqeWF@hGT(a+D@0y&H=3-a9BALg)&i7fC466>RhxkPgy2geLu1XrYHDU4cjwkN_h6 z+wb|`@80juy)(`@I7mqH=3RU3y`JayN3cm*;6L#}H4ma8%jyPd++Mdr!oF>IaYO;8P%h;8r71OVsk^Pkq1_5u>p0*H{B7 z+zDwHtQUx<>lSFaULMLB&8XrPQ*okL_w^OdrVtaUO|nA+RD9|jmr1t~2K;^O5P2_> z1eX=?jB9$rB8rptcgz&=PDNto529u!+TYo9lT|0#ty zO1g}0#^b1@aw>&@?hfmQVOC#*QNC!#{RnDgH^YR}Sj;1gr@Aopb^7&U*;cNL9D||> zl>@{{=}m`vneLv6?i<^WPrsQTG~c4I>3yFKP7)+04nCV%wIV4hv#6$A>UXJw?G534 z+v8HI1y9|~3a&ZX zZ<9Nj5t-uF>u;Z_@*0Oy_8_{B?Hz!!9Jm8gTQT-{I%77g0;%Rc&x%~#D@uO)5)HgF zDL7x{MW;5)d0okB4=2ibSP4~_+U)})DatSdwY!yzZla;CudGejW|!>$W-HOZufF~5 zw0h~?3errQUSCv)pA)-^>d~j%{fGGP)L+_;MZ^v7M*-eT{!gkzs6t^H(hr6w*PC;0 ze_m;v@$-PM)$rg7>t5ts`25@Wr-m!{+4#Tjk{P`1ku?$bI2~m$y`;L?THm?l`DS@0 zc}QBw>01ohqWZ~T74dx65n}qiv!rYb4J^fuhPqDA2<@jh`A*Rhu6M~v0F&`N2Dv4^ zg{oXp#D5dRkS||EUFQi+nwT}UB9FR$?zbRL8?Lh6$M6k+E_ygtf@Q~j5gd;zDXzdx zNYNVUQv*v7GspjhYYlQ$i>UB$`Soz}(LaA?2lU-OuDtlW9Lw+QokMDJ*LbtzTPL8g zfG$z3ym2m9^X}IHMU7Ur;REKN97>w{MXp2Yu9y+iIu!P4$=0^#+HmvrzLeWB`qkiW zH03}YCl}E%^LJr{Q$9*Nt@OVSnfM>4<3xf(Q|K3Z9LA@s_hXTztg0k z$m&+nr?3Q~4lI}|Z>UuYwI1o&s9bk?JpQNo7OfDy>$_!0EkPLV=sNwk?caNEADhVW zeSP;?f593|uY!O6_^J4n=dwJhZcOesM-E%QdE99`cizJ(LA;IVr+d>+;z=x+dw@~# z&cl(T=?Isms;r89?dsOL2ma-!D3=(Puld(!Q|T9@AK2in~5#G-5vot9CmoYI8Sz!P<$D zA-}`*nmP;TU@8n}>*Vc4uo_g=8lwhYroB(5$(TS*Jy)I(+R6PTUYe`Oq^=v9vH#8m`SixP|IX0OGu~T_bb7O6 zZrm*FYHWofNNS`2Ngj=t2NwX7H4WSvTNoF}1UGF=-z85SeZGYFs79)-O@^%EkD^gJ14#&!036L5Hbdl4*ic-|7EZ^wG!lan|NAr%L>8f=oa_B4N1>B zXqAC!@-M$vo^yJM(#zHhd9no&tJD^VJhe*#!)Bf6JeGMrYHwsj#l4!hJ~^Vck3R*( zR7~t9d*I^|)A&xSv1W&S76*vCNm>k2RX+opR<(>wb*BZN4!!y45iyXihOqUjz7d~O zX~w3)RC~YPH5WBop>_?adOQhfK&O=+B$qU*no59<)I7fN+(n{Q`Q#&3-2$=B;ssk9S{UrL}P;EV^n!Obsy8v_q6t z@Q8dIVe|axD1@`kW9pvh?wHxH;&TclUw*K6hxESHQSa(f&og8H9oK<~`iRpz5}(Xo zZ3^4n+oxy&FH~eiyy92##_lD zzl>c&CD{b@92$TRh+DmIs4JQFO4Zsu@S*+x1EkWSEXR3h2EqrDI51{~$Hxvuprlh& zR{v^iYdML&BlL;yK<6=_`8LprMh0{F#OOY&I#6!NJ6iByi-&K$(HbOi(6ds(l3o zppeuP4}q&di!i;1;a(L7mZ<&Ap%<>a1{#pnG$H#4AZ9DDVVoC~!jR|&fIq$a)e}=U z>K`meixho;U?)bX|LFlrpCr6?HV-=1=O%GXEGwxdefaIj;bQ=1>iByf5mJj~$BG~w z26&xc#SUHfD$9Ygs9K5;`>YKMVrD8xixCPS(tk3zy6*#}`e|R!(6BMtM6}b_w^u&x zWqmUqq-*mM4E$Cnmq~%C*~awY^}*aY4-E6!nub`;1l@OFYyvYlu^>mO?gyHUV%wH) zjtmmdxXKp`hDqtbfZsZ_^eA)TCKR>JYk-D|hFH!R-FGl$>ceY-h6bj=*BOr?cCgTy z3Eg2nyb<*aOx`3LiuFMD;615ZY=8!e{|w3Cu^3lMaHkv)7XN&lLgQSNfYh%WuT!lm zFeH6@m0W)^BB%(<^G)}o(5`*+L-g?dF72WTE!xrwWG1@Pk&MqBk#9EWMssMfg<+gt znz%qLn6K*ZbZ8gwBM_@(M{Ubek|{X`{tLmqsDJ&3`*vJkJFE=YyY6oIeQIB@(uxh= z+>=}AqNMFN>aYpA28FulPR3O0+N+_35km^pLXm=v?H+L|%t+3STV6 z*8WM|4*J~S0&sWviIiSGX-NAs?lD7?E4ObE_?2%%F|^ir{l@r?X}q{qgIw?nasDR% z!6)46?Y}#kSVX2X)B+c@nWvK0l2A5t6nOLWL$S-v1FN-Gb^FD{+xtwFjWEF4Z0cHM zTWuY1UT*fd`Gv?A=@77p7>j;}gMttNbo6@5^OyghvO z#{JWQv~x&NVFD%pJ}I$RTn_o7H38%S$n!=WeH53hsZ3jZg2Yd9G9NGbh6T0D?VA2h zmJmMDc`>j>PRB9FM z~+Xp`s`sB%7t{=k48&UUuRF3+md`-`A zjpS~)40Wzz(O(AyyYrNz02%P_t?I@if!_BN$S8@N69nqcV@Y zfAIdED^kay+`H#?8dd$;-$k$-o5h}b9%@ALL^c84{|dt^HSgD!#9nZl+384T<_l=X#xL3< zZ)EJ9gZq%?(U0SW1>%ph3L?KLk49NOxb+Jb2s`9GVKExMO}|0izgz>L|8cD9q^ap` zD5cw~jMgACtzru>d?9<^-gV_?Y#1u)NrKEJ8-w2&VWUEw;NiEZPxbPKP-(aE z2Ye}S{>DdhvcVRMvjfVW0d37Dsq=&f35v_JFx5}021RI1_uPOozz~r}Ea3xHtaWO) zi0#K4<$Z?DO*Fl~bjdtSx4|hG!TNJpmm-{HO4+(Er$&|O>xwKjsh!mSVb=cEzaPno z@*HJ^ViyBqU3C7(K^}2Q?Ffu4(4_QUe(s`U?*Ye7)G~5WJ$#__e`X+lWN~;ZV|^nm z*XGlWXuv*UcXm5|ae@h$|NE)${P#la-vJ*(NUBcEAEXqedjOH=W^9yymGLK}0s>|U z3dAEWR|YQEjoM92lhYj5DG6#>Za$YV1Hs=t%4sW8=#Xk@>pC3(y)Qm5Lp+T7pt?y( zF;0Bq)r{GF-l4$^NFqO2)bd$j+f&AAoJMmq`=%hXx<^Bs{nWGIi29Ttm9`6?E1!hs zP6#~-uH34l%#Ldip1^Ce z=dG*kDqk+~8IpKvkKKcScJSS5l7QpZDNSDncE2)EEGV+5z8R+fK!Dl+zFY9qWlC9n z?TLH9gr)=iY%{<)fuF4sYPu;Ed<)R|I~YT;|H=LUl?y7s7`&)PXnJQQP|y?_4?=Nq zlR>(kZy=>*a)}gR;FCZhi+T!{C#j&y0A9yvPpX|BQbmG?O#@E4{~i_;V*~K85Wo80 zQv%{mZSa%;@duvLwOg;BfUK+#{mJOx|NKt`2)L%8fIVpk&Svy`Q;1>*p&);OWhnh!W*4|Ju=b$DY6BUL}aM z>4K1KrpiIUHzrlw+tV;QVL;{trVc5Y@8&a~mB^ZBKtkMS0PBtfKcG_$CT5HP&~*Mog061(f*&9uyGug25CsZ|YAjH@Dr9s07eA zVRU8=xH!51M1vQ^k&{2NyJAPO_^{*+akt#&?0y5`tm}C8!)weBD9Wt6I7SjIY{uRI z!|d|+$_HSjQ*_)69Ji?&E_=r=-m=V27_Q<+}+ z$z(sVU(klBGG;$z8>baotJ;_}QZ)i`azHb{9N78&_1$AN|Is2R3kcnK0t5uSag*w; zPb^)l=&yVX^+P(_fD7XLe?D!0Z)(l56@i-jf*{}Z<+WSp*AMs-UO`>~zJ#lqCCG?O zpbv21PxvoTaDdVGYo6@w{VR}yy9Y`!I4>Jc&|pdh{jggV&MgZByDpWJD)zCYYaJ*J*bpl|fRE^_U2mBmX2l*oB z(A5KJ7^`MME(?x5xCdNH$@bIWa{zuP1n`57H9#&kU`|K_kghc3l_0`{L%_SsJq@LI zgGcuQD?$#008sXWM~8$rvC|G2l|YmF5iB;7HsWfLGH)q2#jY=Em@-zTV4eQ2W;*>1 zXAtzX$|b$YYHo}=3q-a5=_mD6Gnmb zLmx;k&ozEu?f})V@I1bu+A0H7j>|7bDc1^4I|cktK7ltdsqx9z5Y;@~y%vSt0g+*j zR9cMwIb;ph+MRW?-PjWRQkS34E~im*FlH)mVRo8>!AX5}7`17%CsDGoE2oBEYkUK} zvt2+kn!OQyNkQ!sGf=fYffRdCQs>J3@1T3(+o3q7f{e^FRfoXCQN;fTiU)9^Bjk6^ zXjv?sxqksq>s_N%tLLa1-T(Nd90QmMdZE4`=%5&T1Ozsm(^rB??=OEodkr;>93;ww zgX1d}Lhdvhf?p?P3CTuWX>K_c3x=mayg>^on+?Btk=H;m;)isYm5AnuLD1k~mfW8R z3|ZQ&T|S7KV0JX%YD*&LJHvL2zSLq6Qo0o9z`T}(b-C_3P-#k5|4PpD}ELo42?n#i;IG{uFYnXr)YGaVKYt5J3PhT8>?b`#k#I9#Ji{LP(Mfd0oGge2%$2=qVTr#JB3Y=LJ3#F~d-CqUat6*1w z>w6b2pQ(P+J&GtjVQj>iDoyW|I0=L?zBr5Rra1*5@5I1^(kxSYd9ydnd|KH&RtPTn zEz!nH^D_p*{#Bdhn~~VzgNV)zp(eV6jimZi&#GbPL6bpXqsS&=9ugl}d~3I_=Ci;k zWxstBV43xs$lU$h(hP!2*8Mn-4Tpce|IgI1^8*zh9mTY1hmOoX1*gpAAiRt=wzjM}}rVLA^D~4W} zH;MSUwa+GEmt3uI^;xxO*-$FTT;n8mn&zyv9lF|-om6iV!7ZLUtstttnlQ8haGgia zJWO-y*XdE45`>6-f;3yP6>`L(b+UD()EmzQ$%feXczTRN%PmcsXy|v6oO>{#EJYVxyeFwT`_p=u?mnT9@URueg#Yq2*V^1M`NkF< zf>0Pz-*R)^hQvp6u?|YJat!NGw1(*rRolxdGH?|g)6YKn41Q3hXo(Bb7mV3!ps}2B zLbp6}Eb@t{#C=lqb{w7V<8=I0`SRkV^I{WH4oX8fYwQqdT|WAsjK-bovC~72Cy|?F zI}L;i^VeV{;!@)4_&CaSwLpTzBK~t$*T2^$w)v#FiR^o|X#J6T8FJ?9>0mk1ZxgJu zYM-|Zy6c~7_u2|Vlx5E*hWu{gz-~r40drA^79JUu`o6W6`L58_a#8BpqYE1-F>_fu zD}0H#asClxh~=!zxi`%Ndqe(c^TSmZxvpk3HVxl*kVW-^dKDsyx~6}hx+K3E`lwwt z2Ki!-a}le5VP$8<7FMkqva^PLbOUhgHihWhNsTg-IEz`WE^@>WSW&Jz>tHug{|paZ za_<31@{||IXAsM3lK=hV9H?xXWr+$mA%=GwuVK{OsA1^%n{-u6=LZ!Vy;)8rp4 zlt;nwZ*?MvB9=9$%Rd7d&72q5mMK2j_%1zM+8wx&)vu`{45PwBp_6)t@y+NbnfBY6 zwneTo+@0&8g!gE}bbKRPKV!&;j#b38(%yDl4&^ee2OPr3)-1VFt(d=zbD7{+&M@?| zyD_t~F^2)e;4ng;>4+I3xyPDm;Fh(rL}YK}4m_J7*j^hDZw;UE=0`+}BL=WtgcpN$ z&U!!+#XB5b6Q$K|l+7vIeDPwL`m|4#sZ3$zEk^-ODCgSP;cl~YFS75Aas!OW)&pyT z%}M#|R?%7IZMR;4KxL%=O6Xc@78F7Z%5ZJgfdtSBY5KneCT7X5e#sc1XT8fA$CVRA z6tuFSAMjt%%CDDjOw<65k17)s6F=lUJlC>do?xH>n|D#vdS_ZZF%dupFIdMnS|}}H05}cb+=e~0fTbk z31oB!FQVmZ$(~o5Vp5gOA)~7$%iTyTNDD01@W%4r_&~7dsiV}qM5`M3wPdICi{!f? zNQ?wt2vKSHAh@$89DfFHq~JXJoupp!t(fx~6a{9v1Nb0|KG=ZmNdDk@pFy#yhdU)E zZy2)31DMbHKp{@r;7XNg4!X8MpUOT%4{X z-0KQf=DN%hwUGlepCd7W(qmkeFU?$_ zg8bmw6@g|h2ecIdy}^(oV3S#x*=O8royX09_M7mEDFXVDh; zw{Tq|d)YkC6Y&JS0iJQeC;^`l7(O;>-rOkj5eo3FupT0NMQ7>HM5Ae>Rsa1DosZkz513B2-irm{nZ^zXx2R31+rXPJ ztzWieul_Q22G)0$8qMlu{@+@!MBI|-9(xm zB_x^#G)X6nPQ?$}o6LNw`=ZqOGgH1aJ+p~RESbx`QO(@?NXMf?n76}fHQ=NDz%#{D z%Z%#cOp(8S%X$HQ*H>NL4nDz$re}hTndK9|=_QkRVLa`Dz@(^&hypmPI%KCIeCU}v`+KMTpAXCsFdkO-ZTNvY`ESL zP#I&av5~jMkt+Jj1No)y%rnquC@$bbTxK*wvFdro&GWQ@e}pSCybV*}mGazG#9l<0l=Y}h^PGiETjKc)Rke4P!j zrAd>3&za#tUixj)=&l^`&~yscBvO2qTHZ{`Ih4%S_9(&=Jt!o+N7jW8u&Qexr}!64zG zl@%_OdCc#?!mrbq8Ld#A+BDOIb!R!&p#nA`JwW%0R^ed?L( zOHmw`=WP=WyLk3H6&ca4ZV0ALCt{tf##J^7aV++2r*p^l9|4i4lwqbq&nBLx0Vna5 z%T!x=u{QGJhTg_@xw+7XINB$j>l&#%?LT`gX?mJoc*-@)8zpsNQMae%mfRm)x$FyI zFQc|~jbkHb+kd++Fj_P=zCmQ4IDCQjTyGUrxH#{M+rjT8_iVU^lBw_!M9ol^p<^Yl z6m|b4R(G@aHIe>c?`~{}30GiFwx~`4nasq20VH}~tdC6lo;dI=c~?!Yvzs{A%N==K znY{@6kfU~|zturV{ChwC%=h&Rn%u>TX3TOxFBVi~?^Oj<^=G}FU7=C>xM=0o(i=HQK z+#qtL;#nDqjvUr`ub$s_Uhw9O_bSv|c(*a(o(uPXsu$i$e%#6wKTG3|@$H&p%@^pu zi@q-G8kKHPWiSx07rZBb@aSx}bDn$%I4;!*6Bq0-5`=&4@1-OkprX@3HexzjTmRft z)?J2nl^AD%-fYa1s9*2N{#+NlW96Qv6>2u{M&H!;~k_R z^HB>q(K_NC3bcW#+_#TKIF_7CuIYu;AOvNx8e72#Z<`vWXCX-wOQnk_5dhZdQC zo^6vIL8GqTIgNMlY&~5!^L>?@oekifNz1suGl*l);+3(mpUNp!_T0td5>rO*sc3u$ zESf4hYc4h1*F2P`62mz>AzywGeWkaOl8W-IvJnwlzBRS&S9lM1CR5mYI2}Xc?{GB< zy@3DS-EnGAVRVYtwi41z?50Jb7|4P9RBaaol(e;NlzSg`7eQ?Uf4oCp`^uC`!8lC+q-Od8eXvBr758_AA3L1)ai! z{E4*>e(Ip6#Y_$SFjm^6&Q-Ec&vN+;Jhc6`1*473>J2hiepeUQvMZ*E{q9?Lyc8bo ze^ohDxrL__NZ7LL^S`~PwpSzMhvF*gtKWG0ShwqU5)w|=c?Ud`5o9_zeqqB8rYX~) z^^q)W?kaT&vNvQhxcOtVIRF)$|E!T5ner-MxO~VU(J*XPSf?*V;Tn(oUYjlxf#`n9 zANMeQOEp0QpWhk3rwQ8UEoMR>lF91^%iwJLv#(?`3c?ImSFq)ksT#D?gamJlhUNVg zS!@kFO~Z;;T!SXk6gkPJtg8@dww_AY+pFx0^9ZJ$=H2DR@*MDMGpwea_=)Y@H9;|# z2EoX275hdGS^dl&gRR^|v*eJlpP0R_Q$B5}vr6%D?q4^NliPnIQ`DNy`)PzC3 zyfS$7h!AE?53K58vHVw^k}DPOk4WDxca)~0`Q%B)d%07#l!{mfc4L_yn9+zBh+R8S zD5r6Fay*5d9wA;(|9fBbi81{gQ{ zOb9u<{q6F19)Hn4c;>nVVFyv?ZM}ntHviF=Sk?5sb+fb|;2=2A5O`vU8PPa5f!1zC zd?w+Jj?0DPt4v#pPtr5?`q>BFW-0I0(~w$OW5X|v)3)@qJEBEJCgBb-2L&0mj5?~? zo@8*O>CBYM>~foEiUi`;lu@q+AbWy(d(SkGX-F&BpzGkM899zUayjS>aI<3vF-y|| z1yY6C!a6T_=lM^K40-E(%XsQ=P4$ClS%3Q>uDCmqz3h?anHpzWwQAcq+4u2vJZ5Pc z9epf=d-oaye|h*TiS=9W!0V^Tca@)O&S|66CF{jpyRi6lA{E$p?$Tac3*R9=mbDa;jyRqnr`US3h& z_Uu#hy>LW@!kCUNE)K6`mk$KI5$>0ZIv-)P)kSw~7y2KB`91j6M~J>{)((f8{erbN zFVyM6cQES#d#cD;w!HZS+Oy>HrEp>@#TzxS=El|(H-Fadkw^nVTNL#k|+z31+l>J_lv-qzY zNThYGrO{RQb^B4Ph!eNKGM^mXiiO~h-#)7j+9%$wYH1fg>bsm)5j3v%Av^5r1*YV% zYRb3f*;aLwLZkWSl-o!6k4N!X*C_LMYY}yQFC;VGIr0>IL70Ot%=8{B01GnCa(ib7wvRW|>Hv4keFBb^lIwNqU?~)5Z5E z3fj;ruW6?T2B+~VZe_6DUX zt4^{5C8mmj0CRO_myj`172E1 zO2ryj2yIS1EfJJkX+RA$VSt05JwJ(lg+c7m_wa`C><|*RPKlcSUX|Z6WtY;Gm~QMm zl<^;*Xw$Iy9%AS2TorWm$ZO=?j=j_RYd}QSe!;Pt}+% zMi=7iXsKK6n@ZGtWv-nKdAPacc>wFoXUtm=W#{qnNt|%`U`ncg06XP)Pfi-LlWuaGxA4p%_>@Kg5HiVuN&&| znRr1`p=82Oq3!b(GX*mvby=DxlM0b`4wu>;<2CJ$o0AG5{XVg~cQ9$bd)Z%hSPnx> z%(-CP`PF#>k6 zUmOuf<3SZAJIWHLYnHa>vC}`yH1O6@*N$qzROrJvD`BD^Co7`ZXl}Eq@(*dw;GAPE z&ssX}_&o(B$3x);!bXk0mi0fz5@?HU2B5S#4wxeN7GN4Xn?|_|A`NHK=FCQrLI_It z97$KZu4Y52s_OD+v|ghH7aNzaJ=Ysa(R9Vtwh2!-tjU$&E;eM)SR)`NgD{oL7F_rT3z@ znRi`jBQ4ie9d@X$E{8e93lPnuUeyyrV^u1J%TIOuc8rTxVs>kM)dD1vCh1dFRvMyW zvA7GqzwRawcA1=c7yHV8-mjaviMrc_T~e)-HFQ`pF#SQ0Et|@AsBd4{cXO_~ckCWC zcMa7#8Mq?k-)lYHc%smxu#~ETl-WqHB9`20bc2c5OE9y@^v?#wkIj8NRh8$hqwd31 zkT4NaZ>whtWBfU6u$PR6^5>Y+HQvQ}laMe=foSvwUVA4Rf7iC+pJnWz_h*2w-U75M z(k6bd*JgK1c#;k{ zXGFIw;YgZnHC>!1TK}T%z9%ixIGwEtw&6fHg`c{_^sj__Qz`gQY9GBOKD3VNz-LnW z5IUH$Z4eH9C6vhvxDMg+pS*QMz0j{FGNX(wM#~Y@%J<7|BS;);3-b)tyep)< zC#1aTVlo}!q&V0sn~{h=7O!dCxIaYdB5j{Uid3ejqD#>x zwIzMSFpE=~)K@asAG$W5HV0$J$0H>b9%E|$THYBF8T4Y;En++1@)nA_X930;~te1Ye!_Q-GcHQisadj+% z?IlpL-brRWjY1m+3-7>!8^}?S0nb z58CKS*KUp&mb&xk0o)@_`yta-+#@)T<1swAT1yLdCotg*k}YEI+8tN!cu1^J!>K%W zuXaUv!F9>cf<+?xfb)w(DyH^N{QkwL2D4SRI};La30kr^OW(aOiUBp=#;;HHw%d9~ zta!|N%cHgSpjjkDukY3M(gD;u!Jn%b0F0VYWNe?ge(;mh^>#k;LlBht+NXtf`|+zs z4(lt3d4n3(R0MGM<oc;- zCpT9t?%PWYakqNe$qh)xtvQO|1zZFx0wiol_ zx*Fv`rKx>6t9|p4p)bHhy(`^Gxtyg<^pgX!I~l@pClt@kTv|*uMgi%J5)4TeSaLMv z58>Kap-~8N?i%(jy{C{wvb*<@ZWqlDDIe@f+zcOo)3Jv2Y>VhO7~0TxnhM$G-{XqA z^zvrS!oQDB`NY>AVD8fPbGguGHEU%N^P>q`2C@D6s%LPnnHW(qB^R+pLf%P$a?!^~ z(SCB*%eQHbG%QxmVhIlUqK*eb7mUfK{2Yx zG9JOqJqW|F<4Dpb*quwOD>PzT9oIxo?shxlt&aMFgDYiGx|T8Q0Sw60zkA+A1_~gj z{%*orl#VBF+fN-P+MF@+#7}4h@DO-$%QUbXr?qr(e&Eyuyfi?L*{Y$8dkgo6h%2vWi949--2ZHQ&!L+TVj>4cm_`1-Oryyb=}U zUikI;+3_I756>{zm5v-#0-rrO>D7&vQwhi4p?*CVxYB?k3Ik1;%7*=e2Wt}yS?~%` z4$^7qfqSBF$zoqiUu86td5~91k-cekP8RFm`8q9nrC<0s1Fm+uBi&i2Y|gHmr|4X| z>;1^DkCBwK#Ql&ub!F_DQA&EnUCSD3+gIz-rif|EL?yN~)(~p;s%33fn_+me`l90K zfwZP@;A=lka<8(sxWvhC?}Fytd59ciFUy5P7E+gsg-WAyOgt|fyB;!KNt&X4MB7bN z%s1i{?5bb&hMOMpCUJ2RXKZ!Nap<>E*e5KywGTUm8gq-vTA39?M)@P1Zv)j0_RrD!A(v@#^L1IP(CyQilF)t zy=g*bWqU(OGJ-(|9jfH8!(F$BZyPNzwI*aeUQ%=z-i~bBdiN7D>PxG${%9h)SB&25 zeR7XYu8ULf=I2kfqEk~*BGZIGxny9Pk?UPg!@aqPS%TOrkA0_q z>v55ETrXS4OkqF`7fmnkLT>I4HK~c8#wTXebAdN<1e^onqAnmS+>WsiX39!DIdrW(7cP% zg6-^u@c11Yt`>7CyA=6Pkj^OkTg2j6R$QS4#KBkgg0Y|8t>3wc6H6 z)0;R;qm&-lu}X&1_t5DRWSV(!0sq|;v%&QxBWQ!fe~&GbI21WAXAvX-IkWsc!zEv$P7AMouS-yS zA^YJ5DHCnpeLBB%wX7#w)2U7>y}Hx(%EcWHYVjRPtLayNl6E!^q-z?MIivS%wOW@0 z-0OS?4rYqa!?beGP1@?0XZ&m4VxzjGwt~fu8NI|GQ#)>@dE;{!enr$>kCMEr80jZ- zHKZb^_s3;3%S$gd*}Nn{=FM1hg=PuIDln9~+tY%z0jhs0v&nuk1>J1_CR)C$C&%pO z#%60cC0l}Ezw5)t@jpU?qtLXPb0n@jse7IqKvS1~#ah?>^$_ zV(qUO=B^WZ0@rl4)5P9Ad2RvgboVLrcDo8%tFM1QwAS~~MDI#u_b-^u zb88a4nKXL9b-C^m;+TEaqPDLpQ*!F3tjXPN^RqPX23Nxtu;=Etl-6@6yE^W*f2!ze z6P$@xr>aszpoch!-go>Je931)>dZ=xc=}pL4LK#*H>8Jz(^rAp+IXlxM_ zq}rMZ8Km%LLLM3_{?~iJrt?54$Zt}a2{wyQA&=Dl$@D`8o>n-Jd_lc|YyJJnOg%8( zig_LQ{@eS~tE)B6-&$^mqnM6tTDLQI^y|ZZzoh6+UzvQ9#vSOvl$u}>%07I>UoR)m z%E{OAM+gzI##(LF`5?}eG*bq|594dKqt)&s6C7rEgcIOt$gi9?`tlbIOlQ=XIyb`C z9_3cvx(ZGM=SSgJ1|L&o?b)<9smRB*kJBGmFO(d5^UUZW-v^AwZ{D&imL10XO>XRk z|9;|D8hb~kRHQq5J`X;)Ey{vXVmA(sMon^jzL2(JNx3H0O&$rT;7YN%K_;mr$b`8i4UY>-6AHsUHZ_>0UAVlnTdrY@s0m#e z_rKdoO;+>pc5Q}njf}i#%6e4A4P%?5WMj%le^E%^h~#NY*3lM4s@>f&KXlUJb>z{u zHDo#Wf9{D|r=Q=7KA~!sqY_NIby>01Y~PERp={de_@p#=C=wm~rY}9>x{m5pIh^Y| z>FXI&D%KFO!X$5ZZu`k0LuCtl0_y&1Wr(tjZZih7s>ie+{io8`Cb6;kKXa)IoVKr{ z(r34a;`k*D@tQ~D+0Ge!fAhStpSoEVK4a+Y{+>HqUx1gHNBd9qSW~*};T8KL#cy?! zw#Mm3!U#YppfgSq{<1b9jqYtR@BTLPG8TCfmN1s^u$y;fiz(a%7<1yr1_ZXh}V0-L#(iO`S}^r`>K8%uy_D-ma)bdN6n= zMOgKh0!i`yuzWNcaXVSfuHSdLxqD!vUD!1t?Blhz#djHQIKEUj{D@cgU({N-SWrey zb;qe9`Mow`BDfLbYM>nx=VrN^_JP0mXuIiyQ+Pe>hfw!xaMnPrhv$Hu%slddCtm{k z6IG;XM^YAXFjFxLoqgJ^9j}3l=u;R+^zgCuv+q$%HMKHUzx;W8gUAazrd~`Cl89%< zz1TMuUy1l_qaYAx$*y;r;+dTHLk;8g^LnrH|6=Q{-@f+bMAfJ*ZE7%-fOMB*0biB`OM6F z1j0%8rcS9f-@gm~g>u59pNZX9n9MdBIb0ESEDgeOBJ_FPkvP?Vbg3t>iD96aRV(sB zLj02-^23^SFS2?VXzRbwXzW=^=LYy{{p66?Q}CXQ^gg`06hGzRfL9cMAP>{h!hVsl zR3KFa1=Kf7oYDszj@G5wP7Y4Q3=F&IZNA(zPjY#A6fd2TP(tdqSnt@XIL;St|5L9= zcge6hk7sm7!%%5G6P2v9O^@5g8f@~6W#k1G>oCF_fP1f~THv+w0=c5l-Bh+iw==h#l1$lOr% zKO8O;$}?tlK3`Tl(PJq7@U9}3B!eQSgxEb&EoQ$(oA;PlRCBJMh+?dOScmkxOopJJ z8aA;rHG$C0II}T=RkJRYQBOS^ITHR=8CLe9>XY#+>v-|x0fcCe9iF*gb5`%F=MDx= z6P-@Y_m^))$d1B@f)olz>t89}bwktKC3;OIk>T$0Yxb#!>9f2_8?wD5DrSBR`QZSm z_WC+0(aSF!g$mkh(?4Pe3v0k@`$a5Ugq35s#`7WDnBJ0>L8;8wmD9_^?3T^|2eN!i zJ>n~%L_^$j`e4h7rM8hT^mJJ|=!%n@KCJ&c zr*w$Nlawh3ug6@+r)7Xqm-eILNPES!RKpEM+}gE7gO#-rJ$^5|)NY1PjagJ?`7w0Y zapQZOcSWHGplhs`VN{toAi>JaRaNY5uM!tUB3T#D>V~uqFp)JMjW|@?@ltd+TJa6^ zj~`Z>(pPm&(yPW~cRQiHdO%#BnfFU8U!G~i<+E2IpMbh+;=@h*%$ODam^LK4v^f31 zep}=DVj{m8Ra~j&d$yqw^sy18fk(aJ7K`qW+Lg$=J$MZEPuc7ozj9O#t5by4n>I=3 zY&zJSGl$-JT~}gVZt@nByz64c$P+C`@}Awopj#eEj&o;b{?CvT=gQ|Xt<{;af#_Y2Wi4$eW;j{)Aobv{iFvj{YHCQ%LMB) zoK_{YYZ;;yZ66M*%z9j|k2UK$-xr*l@YpgfVNzkM>VDPtgeO7A;gHkO>%C~t==(iI znp7h5@PjozPo~<2d!ubn;8q=pl!%#1s)*4Eo{GLapkKmM^2ZqO>{3rmflx?8>D)vfsV; zDlzmZD6%svypKoa#GPEwQ%w!!@=*WPt~J+L?PVrC8*=YzIblBwtutIoOED>151If|=TdTI|1#QIHT zHXk;=>p^ZYX`+iJ*4OBU1WXUZ+;3%rxf>Kt-~yQJ=}jck?%l6XU(b7)K3K(8`Bh@f z!*=lcjn9v&WL5&|7NIIzIlIzt{T7V$5kU%jqloU_%7!+Hj7X=t?P;&=&F@sXfZu3^ z-i&wD&=91Ez#)c)be;|G|K3Y(&Ruu>o?e!y`Zx;o(#H->#KM@ne~()IRtAlauFzEn zDe9$`C3r_-GWz@4>uuH5fK*gkLvF)dgb);D9=h*q$3J&9d!%v zVYuQ5;B#OS8P(jp%u!9uu`LK|A^Ry4aIVBWd)hEa&8~J+l zR8Bq8dj7v5)nD-krXB`U!vJR0mj>#~^?y%PJ{c17VEfZwDl0Kb^3%T``{7C9_Rv7A z57hCoMSrmXY>M)j>)k+T4V7PE6zU!(`6)O?ZQpWXDYi*oH~!97!5pW-^b$R2+W7k; zW*ptaLc8qnO_*e-Fh(JAL`JG@?DwVGxdY*gn2qrq-GoM1m$)S;%jANO!6@8KWd3}Q zkkxkVIjJ+OGtshO#D}^pin>bFGpmh&AkYV7pZJll8%~4jEFimFU?GU#L z{md|t+5%>*-Zecuf96n9tDu!K^G^$DpHCMINRBcQx>S=5J=wY| zpj9IoT4w><@Y)KQQ4W2g*P)v`ul9-7ub*ShcPr9f9o<}BHp|9a4TGWBtgDv(bjLjK zimASp_?Wc$QfuVw#`MUCx7l1S4>*g6b-4!UMcipgiXK!9z9G@3#^$_HRPFin(9IH; zkb{t4_f9#xl}lpBixK{D{}005NjJC4f8MvdSz7O2a=#Ag4lZsf*QlVFp)MlUTPdQ`{5il&?W4U>mFqga_vcec z%pS}iaQZ!YSRZ-cdGx}td}@(-VzILJm1JgbzKR#g0zLRJ0;c;F-^XhV#iJ+dDek+H zE2*5pxo2t&dJl1ny7ZP1TjHXwvt0RQw18@Zw5;Oeu)468h6gR~=e5&Ci{exNufSQT z2)gqR1_2n}$%a}e3t6kHed9I+RR+(ck}vXN_GG9BwZ>xmG`1v6yHepWZokIL{qiVD zHCEwK4@;N?4v}75%38qQc+%yu>v&|eibbyTwFx(>)ihC)++&X?4H;PV$aKtNbNl+l zt3>0a;`jPQtk<)tireM=j;$(-nPOmIuIe3}NRLaFm++FheT50#`tn*5GlB{5Y_3@I+?zOOjAkuki|vkyo*4a}O1vVi z9$G}RJIAWFQ5|;5nw4ly`(`elACudBF&wYxO=n`wK)uyB3#*idw=PC%l(>(DXse?C zr9t3dwv{uD(myvM>(Sh4l(>}hroI^Ro3 z_?5f+S`V9vM&b@}!*uUFFGpnt5ndPzj_GLb^gzWIk1)k^U+pRlef1=@8=AhmOKk&q zOLV=4it-K#54?E=0*>N>@ff!c%h8+OyglQN3(D!m^zpv0>uEkCb8aE|={;3YN)2{X z#TE@|d4=|De57MKp3u?Bv6?S!p`GQdDRDv#@kes;Np0KHzx<96AkWREBIeHM9D|=5 zH49OeLv$Pw*M)qETg6k$JTJn z%0Oh)o3Ni4rcCQU>lQImCMvp|Vj34WdCKn4Tyvs;CcDQ2)w!{X?oEUz84sSbu6MLu z*VgTQ1%{I&k?A}jCb6%ur0$6W*t$HP)C%Y1CgNcGxy=^GdbN)kB=V{{GIWvBPz9UM(0-QUP0*uCyE;_zntjxF!neA72y&%b&naXV{PkGM(l%VR3bBJNK< zm&SgT^6=r^d~RNLPspMq2v7&ePPFOybQU_iRRH}eH)zGW@B{6az!4MD<5CXe)AMTe zwSJ;D!z!f4U`}sr6Kyt);B{5Y=fGdoEK(P}rlyv2pM6KWi-Iu{=OCdgfr>a0;^3aJ z(IOdAushs06{pB+`f~$<3b2!+dX0lg3O?|yY%~8Qejb-)<&P?65EM5<@Kn|BSejz?*nsKRx}nx|uczJytOX1f5ehBnd<}eQQFc3i za_G@u(i4l*IG0f!ma4)}CJ*0DI4G!^rrU!Dk=*=3+GuD@(M(bD?0)6<;Gpwo*tNc| zbT-7-*JUwnavc;n9zU(ueYedO@tZX^Z3!qAr6NgdToD<_EcZRFv1l+M7MC8RHUfpryhUwO#AvQ9AzA9T@Q{h!U?|JTq=hvxX*r#==6vwYAb53 zuw6ut|gtq5WC0q7-wzVVajwNfcwxnLeH5@hJN69oFS_0AY z4%I}i{pZSN^R*JXh~0{~Y$_l6aoUp)(!P`_xyPs(qQdX;qvUcm*~A0udZsM< zU$@jB^cbBeuks8Wi)F%kiuc~D<(ajM|T*kbiI+Z_j~i51T#107Dyw-ugC zw|)b`fGvyW2l15n@wLAS7oK>(3?Qk9-n!(YB<4n{mZkkLSS0*hmCKFvYnNhchU~wd zz-2?vkqp_Vxx+ZAiM!C5nBJ7LCT%VLd|8?kmhG#@s^TYRKZjrAwF#-JubQ%Wi=Q6r zH!*+r@J=1W{Ba1wyGsL+?V$EEh$-G-PGk%}swCE4o{lHR?#G%x{r5bV0@CR1ki{{e zZWG!!g4OG*CSE~-r?hykTE$dRnru;MYHPmHj)ica{%|p`HC*=in*&8_YwWGSd#%PB z2qCIHrsv%O|M}1qFkA31iOIoXqWOM?(4q5>@iE9nz>zN8u439lK$YID(a^-xUD^Ps zp5ivbES|GJ-+wNEutI)LKfwQCA%$FCwEA|Nr?_@E%N~G!U|{@(+r@b6>J*hy=g@;1 zFL9!VdfyMduKN1Gk6J4I=P>L|>pv>9&WZp~au%8v|kyPlEuuiBq^dmDL(f#p(E_3yjBk&TqVl;or-wzw@o}Ny2KyaAZnjK8@ z;+)2zouC8akOxQ`?b3atM?g{FLc&$&Gx}gE4Jz-Q4;}Do7;ONdtOI6k8zF(`QsSS( z|L=thNP|{01D^$M@`A+co={4)NuCrNYVa#8Y0C-cGjTULj0!PNIS-*bs&DGan$VK>1Gs3u1r3k06vvYS*XEb* zn9~{2zxU|FEd7+uJvAGhzPHI5$$ZM5zlG7 z0%tZG+CZ}t+8O9w^95iIHphygKdM&b>K z3eQhncb8}5H?+RrKyM0StDL@f zxq4BY`Ls&jrxppJ-K`KZMVL@buH8tOJ!sVU=!<1|#-RZ7y=g*2bSu%rn$awg0g!Qv{ zfQ&RR|A0ZsNZVCB%ixvif{<*EYMX!hRK+|>*d%uK=E;Jdrw%w;%HlNeR>O4wXJn>Z zHu#9i6Q<^n)^F8Wl>=sq6e4ATbc0ikLd@H<(S~NB^ zie?9D6Sa^x?0xkc7znm(2zk)?6S1bUI?WYNe`x1(GZXg(XXyX)>LItGIP!X8QWtSI zahCr59WQ0_H8hPA28}7L#hL!47Q%^x;@QRolY|b$OT|GjaplnKK?+nK_>M@+7Cj00d?o-9RdS5UvIanr zi(+(1R}$o(K@@ z&O;?3CAOZ74j@RVLxvKKL4tM3aI_0ywQ5|df33r6#g>Zzc};*~qB2@vl*SfSd9V-s z6eEO)dS`3^5Lz7wPrc}=&FbrSdG6**^>9Tjy?xN|BVsT~GG*&N3Z^1%Q39D-cghOd z83o^r_kJE;+9x0utuh4q#s?(+xUXf9m?@viNnNR-O;ITT?SRGMNRQc>YG|ME2?$g? z0#o*F#CDd1mu)#+Sywhc&dagJdSO}?t600tasKC5`gO+KN4YsW=RPY^$p4b3kWW=` zFGh{jY4>)lashCGufJ|ixKEr(>%Ajc;qT0KL{=O(vpwyJLyn`Z_!XT@pVx*78MP7C z41mLKoWbDY8fyq|apKm5HTe*VWw z2V~Kg%H-Gdag(tpcIj9u4arI>K9t(+(bZ0Y@mO)_JcUaL9M2uVh{ILl6=UoZgkKvI z_(x&}JaBYu>9i>;4?ig`{=JC;MNu31wX51%_t@Lm>`tV>?S0OPEBn$SW(l-9r4sC1 zmLOr->KpMi@hSp3VYFQ*&^*L6VFZF&?qlDDNWnPVi;lM`0yUoOC-$l%b-5Rz51CmTaB>ePsM0?+(u0Z`U_Zu`^2Ag?(_(! zSYelN+d@U_-W7wkE0>nmf3BArSwpU@15jb<#6?9eK7qDCX;#_pEn8%|zCI&ywyMf? ziXu^UECrB4M;J$mHX;yooUCLSW!hZnF&mgCT!2uQay2?BXcwMd%r8#OR!m8*3W;wI z)R(_VJlLrswf=&awE0PU5%bk^S^D~`oY#OM`{xJn){s{yn&IeNm>^8`58F#Incz#R ziYk|Kr6OkGd`t^yNi&soa!x06#K|IfDUV_jMi|0Um@X`J>^1fr1*9N79XPFsAWEoYg@Pi*xyW zw}WJH2Ux0+iVkP|FAKmlR@l;E`}+3{-h2p4qc-;CrL&0v z-v@|@+yTr)O@x@;fePo0&f@II@Sr~sbVuF)m?xFNAmR70&RRw zLeS2epZj^~RZLmYrlg4r(GtEDa?C`9MoCRY!DFyf#Gc2q#n(pBowgIHrbpfMC)oo8 zUJUUZ@w_*xw5%1UyVFvOw0qHtQ3?MBD%r{p-M7&Gel~YMkSIFF>Ofr#dvu7`{(;7y<|LvIyj#JpGZ%=%~MupPB3{H zk{S*gFZOzSTD827X&>EDCScO3NqO0lVUg((H=MfX3`hXlRi_cL5}+h@gafPP`U!fz z+QX&E%SFi_-i}wY+E=3g0GLZ+LMx{aoArreCSY>HkO{9o*P@40IQ!L6r!6B1ns-w< zpLVOne!S8NzF$_t%-$w=CCaOnAipy);WG*Megdvl^)bAV1_;KI?J8%9j<%rG3-?h7 zUv4Qbb;M_-gr@NsG*EwwfVp0V9CwM4xwO zPCmV!h+CJ{bA;%mIHJ(YZOTEOT?t%M{c^C=d9tY`_P-IV=h`#bh(2JP#*n%q=z~3l zL2e`|u=v`*i%}vO@ISF7PXVN%VUz7zM1W+1p1Aq{t^l1quNq2NxGw+CSu=k8Fv?~4 z4ojY$*@OY*rAG8`D}Wd@Jbw~JUnqkS#3hTx!~#Tp*0HOV1L9s9eHEh_z@3T9NknJL zBjZpAn@7}~4(02g62#S3$cGnTJ5{liC7-;!wNG;%U;$`C9vuRtsH`JiRa^(5$1)^V zPGkf*9~sjA=-s-`I@k|}a~`4r)v(zT(3Na{4@{>%o4nWf)cfW@{BQ_Px9IaeGc4Jl zKH@7H+3J=L*gw6HmwEH}%k2PS_E;H|MuH-H-j~ujqi?^@8V>6=y`ZC8Xbk>4@|75< z%yc^RJSsbEICN`VH-N~XB&g?h3q!MH0LB)Wx3Ukrdqn%Jf=dYY(|RI~NlBN+-W&fb zvb7U66GY-KFrmmK_6TFKm@U6{xU(pSs=$}R zq7TIHAmTycs*k_CkTb+2RZbdv;X}eA`72@pjWyxM;eWlz z`in!xfnGz#cI0j1($Hh+wvPZ#VD zIl6y*+~_`l!e>ZX$PQPFa^t3ncSmQq{1oE7<-Fd8XY$m1q1d>)pm^5itEAPzi`Q+P zijgCP!hgsVq8E1L^e*tdeY5YGHNF%*4vt~hNyj(R)hm&fqId)`LI3wSrLffBu^poL zXCoq0BHYUHI-a!z-S&^v?r{FLEWsB`kb+GqS@bZ_tj?K8Bz5Wf&C3B~pF>w7=+417 z%BZ-b<|CurxdU0J+lOLhk>&CC50HIk!h>S)k7*F(H*|5Xl;@OV{Wy6w+6-fg!5iHn zjzC@FWRYlJP432{8`2oHZT0+`9rq8jY*#No2P*4|j@!ZGRrpOVK=@1AZ2ohdaPXY( zuk1cMvz7XwbLKr}u|_(uOAzI0BkoEdXq)$U5HoZz3UmLj$x?nhCI#x|xXE8v*?o_`oI z+cE2j#T?SBOf>JWMmD}H`iQO(Ua@|kvx70AeJuK%1?i8oa|2>5{6ziqVq~|1aV-Bm znhzrimmY^2qX>SBSO8mWs5PKKNSA{z2>s{YPcU;_736OfZJF-~=c3`Ic`ve27C^~w z7P9mjS2JJP!JyvtN`4@|UK&Hxt=3}g@>Z!9m!B9X*Vo2%5oK0Fs@K6g6GMNyWVypda@X=BAQSCmz^2m=LRwvPkSK6rFOc% zy#?W)eloX#f5GAoAa!d2WX_(VNG+PoUB6Lb%r0bagg6%;?mf9C?EI$iUV#R0B9$%B zETza7W^?23I#P?cUe1q0qi@f@n?a$>lm#Npv{WJ!k!M4O!$)%XUM&#N3??ef0}2-H z!4;cuyZ!d1c+7?!?iwvTZ42H+VRuZ(#W#Mq=lF7r!8A5EZo1K{K8Z^YL$mu~Yaq7m z#|Svgnz85$KQv7E+Z?FDP6$$!H<7|!i^_f0558IRV^|5-T%YD+r{!?&9k@ifXqwIC zzEmQ(BCvUN;4}-RC~{X?3{;mT7c9a~C-^$j%n>+e+IDOAhH_+a$KKn`7_-+-J^g)V zJKf;%;ojcEqCzMkxTM+bxAPR}u8QlU#RdbJ@FeN0#R+4B@Yxvt_eyVq5J9SR1iDb% z|5+1nnVk;}VBd7KaZP%ZWbX3Z61+@YzYryYA=K@Mfz~>V=s32EU9pn3jFZ}pOX*V9M!~f97uIVIy((-kNfBNElX)*-J z^^jv??^3zy9kZLA9JU_B@5JrV#6(om3?Ae}Pukm+yk^7Y{*3jtu~OPaW=xs-ll_&~ zi=K1iRKR@Og6J5_F%E70)rEl5IHwmlHFqCVsaCVL{Zvd~jg4FHm`OvT+Toxlqrorx zPc71Hs<9p8F|$gjoSIHDz4yB51cgKam{=koO~Y1e_^Ywj`@~TzTPg_tuJ-;M*t~J) z{YPrHJ<+pD)V!%>P35MY`EYV!p^dUOjnU(vXWrl%V2>$eYzb>R}- zm+61(>?SEUg!CO`un*j#umC%>n-JRNeOWb4fUE1y)f?_rb~EC6DEiN_NG-NiS z*a9tvlDvx;Q7hDNO{sRz0n2ko$QSs=%*Eb@Jm90}>G(~+@94Rpn zAu3S#b@bW8C*r2nXkXnL{{Sp(t57`EIXtl? zWz4_a%#!JD!mDNb*yAHd+{vAH&Td;%6S|EAFXK0{8UMF4{JlM_D#nt!7rK4Ch{wi~c3DPtSZB_?Mih$c9u%`|c5G zu1Oh*`YqlXoI5LOe|9WZ8e$KES~|KY_iPSA8B6%2e;eyEfZgSJnfM9ht|9ixriJjI zQxV&Q6^EoJlkvOr)GO@NNy|LaIX_Lfi}Y5KV*Z3krc7P5@Imp~Rbc=2u8);wc|oM6 zzwj~g8vqK)Iq7BQ{Jw+X!mI<6H3gHh(;B{Lb5OQy7) zBy-oImU1MAsdP{>4C=ox<>j2V^KeybyG{nzb~ zVhlFnLdCZR%9^!G(4iP`ym-t4O)i62`p&HG;U_$S()MokqWMe7Td;hoR z@Q7j5Dy77rz78H&cW zhcL4(bolLkj(R-cfL;_d?@6F@BLW@w4HAIq_a<=}bcB%v=*Qw95cTx^8sD>@bXx!X z4%tML?a&RP>CVgeOGN(sh9BK2uiv8nO^^(-x5W_z!MdVqNv4LPu}DWS)xe_r?qs_Y zp`<5V$`;&ex6k=1P7q>+d4YegLYE&dUS@mAD$u%aeIQF>2Eu(S+n+yHZ9n*3Pc`n>(D<7Nsgr*y!;@AD&xec`M7K+3Oc{(U~# z+K;a*mwUCJ-eD;eZ{Xyg6)7{64^KecI*2T$EwhVJ<_+4_TP@VigOa4dB&B9D`VCLD zinJdF+@Uuq=R|Rua2ob<67gV}gzy^FIRh}+EnNhJoQlXe3Iopk3BgJHFGa2#ppHA zukg5^vQTcBQusXHAt(qk{d8U3zwytts{dfCMpYbz{6O(v$y&*B zu(2;h?2wG>9D>@*$CpgZV@*q+cANvFzRd4VnM>)>u~w?|T(AzfaE@=gLkv?-qKlOz zCe6j1mskYYnmzoksi254rbn93e-?sTzC8ub7pL`e{DS9R5f}tdqnX0&e(WAuGEU+C zz@KfxFKEL<^$5*+|FcYU9&1`RpEu5i|22-TW%>lEyXA zw4G?VQ<|JIz=O-6?go9dVLNxL$z`frgI6eSbU{(1iY;v5w&2qVC>XBvXV`erT;lKP zn>E842*nz0Y0}sNZF;E6_S;tjhYk|ky~S?7`ys7p+rAW%ghA`cr}5A6DRfYCnJpZ& zcwVu(kN<-vN#9@k7dxtMr%W za75<$+0^mlbyk38y9T4qP=aP%`1=C3Gfj;i%nXKTlec%612M{zi4GRcp6(8s%{INC z_Utu)nQB`gPgEb2Z%gHh1X_E55;uhmxzL$1ZS8{qy|dxR0`@xUpNC05|7twAa>s?_i*A{406h1~vZS%F>puscRP4#(UDS#`T#>`31Yy8G(DTGv7? z?}vuSv0^=o>8Ow{iC;SbF0sGCYxxE`*_P+Qn)&+eK?**Tz%&uJlF)8=MgjeRSR++0-S5yUfymst$dv zT#KzEd4hpQV_lvhWCvA2?-#B+e^)z#eQeyrYz8dc3WQLPJc^v4E~=VG%9>4{$|2A| z$$itw$iCFxz^QqNxAovbU9eUn9S!|gSKFp3^J@EU2G-38~D3s+a!m6Zc6-fshw5*LMqJD z9)9a->!Kv0fXdv0^y>Sa$6r+9yJ4Er6BmuOlTvTre~t>=N)YC z5M!Wie<_GR7+<7hP94;Xcif*^8_br5&p--Y;0Q8jbr6~LU|6a$pZ`=C(H6YL_YGp` z2U>_O4TrwnNFB`Cbm3S|ff;e)SGJ&@m}rratViV}_zLARoQ?=00GL5B-4qXV1cguY zRhc8g1DAI}1Vx7ka{48dhJ{&8)szdZ!NrHdBgoeiLzLUJ-rj+) zvnwXDC-M{2@+u^TL@dX%`ez#%80Y@c!8G3>+|F;qLG(_SbK{)_5-*4#JnTEiOxhj9V5 z3oF^3JkujZM3e@YzVHKHkX|^aknSov!Mj-rl;mfof;h) zqsXtzp&T*bZ%13-CS^=BLq)UPm)jXoJVs4ZnesXIv($+jc>JD?xt_C%6wt=2U?Yl8 z${zJZ)o*ShX(O5;8^3#4Vzwf--Dnis@Q-0|{prc38CwYr~eUv65_z-|;e=~oc=!hl0a$VKoy zQIVP@bw@@1R>!>$Zj7zQ?6aqWBXhl2b16n*{OVYfDLv0k@q{nTeC`fBjX%9{-@Zs_ zx%<);KSS~$$<^UJK}y;uN^`YwJ=?^YY@&MeK}R^-Wb_)?E)g=#Xkm>ZeYnXuR}CtB zg8bA7yuJ5_>38Wr$m95zKP@{ZBMinXPY!0rqcN-q>6QxlB)bSfxDE{-hfM6yD|scE z{=ZPNW};jKk_w`y^CTe4{BIkIO(mZ6I}i zp?^a`@Pj)+BF>HKO6iJtxsCa=b^U37x=>gsNZ21`5Rd2XFH;Oc)7u0c|0>C0+|nrMQ1$SyW?82xlOxGb74E+)!p3(U++H{68t;`^5cHAgQ3* zbf};}3m|=awh&1>TG^p(SD~EM&5+lSwy6y6n$3u|trpKstL3 zPTLkZKsfnvZQ#FdBkG27-gBr08NO-r^#(|C!Bmb4iVM$?c`93AIj_P8!ECP~#Yo2N zC)Ut~B4F>po+9Su+OzJb`TDMl%lhaQ_z+n6ZBkBcd}Suu=|&=!yC6dLx{MjDAcYz5 z#X;@vXQSPL<*MxW-XLcL;brvy1WCs^Z*IM6&J;y)WJ4Jli0g**-Yk-$F=oHn_u^*n zT1NLW9K;DUR;+xDHm>(m^PWdr)XGf3@Il_ZgEc6VxMe<249fCreTx*>qY>!}G{-gA z6e^A=e{4)#H{V`Cwm?L51|@OsG}_IXe=aRor}7afqR6O$P3jCB_WN(mX4=sG%r$jh zlc7leUz*}vP@j?$XxfCa*Pkg6)Ix0HdKHmNk04(^`SKNE>}T3QKXCac5G7c&JH8vj zwoMRAR*-rZIu@a_CYU*f#Ip5mS4B8s%d;9Sawya)b%osQ3$Zkf4b?4-g> zGzzoUwkH}>l^?gfY}ou-Rp})`a+zBA%cU|1t|zK8l}WkvA4guj?3$shO*RS-}eU*?q#vv&5h#8Bc`}u_tHmB}5roUs}sl^t-16We?G@jqHa|MOV#3|4o|Hx@dQpPt% zh6f(Oq=`%5NKDl@Y{59Us*jlvK_YIz7gNL&9r%IFv4MX=NL}vqV&nNo7!BMObpkGk zZO$Y1+b@y29)q)=2k|s-qmJ}IKa8I~?hrG|QzWUE@Tg`aLTsA5tIO&z6L>cfY;Cn2 z*bD^jclWn+-#u23VUU^o|J5bQKT4q-e=f+HZFQIh(<5W)iV4%%2~t2rYSY#scNmS-Q30iQjxi}wp3q-uHd z&();cw_>xA#Cl+)LuVGEPU{?i-~C!&<|A6VQ<^gD_j3qkLW3aHjk?h!4tv{d+OB{! zmIpj1ujfqhu?+HGD+NI5+}D{D3_`$d*08+^YlsLDwW;jE^R6=&ve#o(c1uXR3I{0-LQ$!DY#45Y<3?+l?d zKtN&@q{9l#&$Ax_3?RLw4+^pWzeyFaUJynu%9_=E7l*Nq@73r?4%bg4-FoequWZWv3?cB+JaL+0F*a8(UnV>@qmz?xHhn*jpVe%6Asu3XrEZVRv&oGn=E5P9F{8K`C+1)I&w+=dO*l{t81XrhDdCT^xkE}LY9d1V zo@NbWU!o4AmOJ%|Kj=1-TFjHC+$Z$MBNyb9Ri)ra}79)!&7jJ8u_B z>PM(=ee~+$^{;}jaQ@jZ;MNw&E;j6BcDa9r!T?uWq?Hj#S~2B!%NHGldN1!*JGUeY zaXM>?@3ETJC1?`!{5q&%LR%*g&weuUw#2+QX$$Vhz#XWmZn*g(DvEVjGjoF?YvTJhD?hG{cLB z_Z}+YYLGk;A}v7%%hwjF?W=63FsYqmT)I2urlAVb5={l0UPBs;oB;^A2B0i_1Ley0 zvpAPdMZ3(WyBWfWposqemJYSUyXIo9PUBACb}@=Z?0U*vlcQrkWcf{yq?Ew=&=O*M z0ZNZ)5g~PLQUXN95eiM|fcWz|B~AZB{EAQxJy_c5Ct$lR9TZa~2*zng?}H2~`koBC zGjb0#MLJ)W8i`@D{%nlhunYY_=CC~B>B$n=5%jIr2H}z|=fcgc zMKnmrzVuVL%B=0I#K;U>`PW52r0|Ue@_-{LIZ-B$-D@A>{aWx#@Yx7nD<*T>dtZJcR<|8t5`R~YZ&uL1C2df<-W;dA37gM|skGtBG-+uNs zQD!P8Uus1qcar&El6bCx8%S-^=(l_oNn9)#>%B*SEyV~@7OqD&9j^X$t(uCwFmf!!%Kv8($e4h z<ZoA5h8{JVHhU4wkvOhX16I>kiwRw77x2M0gTaMlY0s9E<k)87!b02pS)v@;({4T{x5v%KdxU0OV!}jmpQGr5|Hf+gD>SJCE@mRsP zYj6S;T1DxU>B|t)DP+RfOKCn@s0hP5H3?&zxNw}wO?6Q~nNuraJ# z7+%tBPqX}-pNh%9-vm3{GRZMGMd(%olz{?)w1N7fg{hemPkl=^JJ6Qo^*h&z@YIYB z;T4`94ZebB+_NcbhQ%XayK9mOVucr2%3>=~dUgs>zfL1o*w-QB$znr8M}@HxaDY!n zHb*rV z>To_kGRgEZ!r1H!duV(~nUy@@^X8SBu|Gc?>P%uosNCf|>B%pUt0!BwtK<{JhXyEPq1#!I z!)8jV2RZ|1(M2hX2E?#4zadndhX#72zQDQB>!^D0D%OZQb+DK_fic_lrB>isTJ*^1va;L1o{b=UigW=a=H2znR(4{V|z{uas_S z(Oa!QQ_Snd%FWII=e8??+EnJP_w1XVEd&eRoSt>3V>osa4^fSL78#=~#E5!L77~q4 z5L?S#BN4hl&6UqTMzQh>{9imrnYXjz@&iEi6mdr`_D+}4wswr3SR)QQWej_54 zOWG0kg;ZWro`xNNy&C)MPXpy`g%sOgphBYmet||ut8Q-3XI*Qtkl$x;$8;Ga&8`I* zHhNB+AfsokZ!7+JAP|~TAyTtK=$o)>;kQD^LwSRaLqbA%BNW10`Wmnr-33vK{C`oE zdl2v>^BCD?mKEX7eevpIzDVO^ji$N`da(B6=g=_owA$yAHKDo5srw-+lm5m6!IhBe zkd}7MC?fx_dRyKO=gpbY<zSh=-?5Gvqk>gKhQy=zS1xG_u3X&Bx^Oy9*3ZP3DrAWAnN4?SQb6A&U48-1Yh#AR zyfp*GsmwJZ3WpjNC{Vll^y(e-T~@Mxprxmb<8v(y}WDTziT zi~oF;JDNB2P4|uY5h(gtwXIzu)x$Jdh789XV*06ugs9qT=-egA@hn8$cb8?UX@8ABTNm711w=bYML`#3k}$;W?$m6TgHt{W*b&O7}1I z6PW6JgFe}3wuZojP69t>LKS1#R6>X)J?+=5HlICBj(`+ur5sd#q<*HFJ67DDdN4#X zhn`toJIX6o4jDrD2_ybTXwBjcVcxDh3=L&2QR!bEizlpqmSsrJCjp%|Nhi+~FvH1s z1k|tYVt#P$ilI-+;#P)@$z}8F&6Kb!G+l-}=0;eier^nz5$+YJd_oH{^$JLLl775c zDq?~~WJNZ#79)L?ubi-)_yQ>(x#SW!GgSx6m?+Lka!4+SK<7Z;!Zmzpp=ImnL86dO z_pc`Mx3`A#!m&TLGc5h!lQ67Huz_5rYZR2#J)(j75394>`}=is<%+Mp*qDHS_8lbK?s^eSFe?Su`eKtEagSBlEj9 zF{`0CMO)g>q}o<2>ef6KE|(wX?;oq5xkv@agpbHqV%HN=7H*i}N0~tV(}y^Zg@pvC z-;Nm`H3Bsj?sr2Mr5>k3*GsP$RPeis>%DvYaK-OAr>_v+W#{i%7d#{bh{~5cMjxL4 zIypRFHk=y97*L23JJhbnfc@_pgpw4QP?$&UC616qAS_Jbedym9{`m4zMm&!D$K9z{ z7lc!Hw!|Qi-yl~~WRBRp4cm0Wm7O%@H-f$}RTh%nu24yn-6Wmy3Z#q&mR9Z;cw>E1s)+~du6Imjm zQawqQl07u`Jv~L1>=Id0A=2->d*7$${rC2;Pxiv`{; zdw0(BRGrgYqhY`qnFi=B7a2U+82E^$~eC`Jeowxt=)ueBiTi(jnKX<&qo%Zj^bR2bDODf}Z`N&GxrK-* zM*viFr+>3@BNvb0G_lpDx?CoTVsgSKoAWVz$xs=b13b)+CR8UfcdZz#8|`>-Bf+@S zDRO}HF%D57A+R3Fy*(Z++$@?7P028AZrRhWwouf}rABPyFQO4wx0 zWE_4%X^rP5)_O}#O;QdQ+bDB_RFJ--hb||5_%VTg5|ixbys;KN;J>_msGJ&So8Hc} zpA@w?Qq2sSyjiZL{FC9u&DENj@>b=YLXqYy4${X&4@swHmOc>rBzarg2S7)9A9-Cl zbH*OCPvLEp#P4-Mn@r}97**1=o$!@lvs$Q@IE7HE=Rrb`=nqR0a)KW*m83?-I}(5X zfFr#D0Hpt*+~&rkA7T(^vQJHWEIY+?eY6_5?eIr$LRxb!W7s)zm5D7Jz(3cSr?dh3 z=JMg`qn$e9gB&etjXZCC6*$7$++=m;3(F=x-8^?}T`@1}B0ewAZegGVWR0@s1#uVT zqC?Chvb{*9`t(z~^e_k_9xN7eOz=P<2{nB;nowi;GdI9)JhqrEP~PFd8$%1JS_pi3 zD`zDjYHSs2{JY1Pc`n>_*Me${$uwg4;`%HPztVev%j7@sxb99&yq_EHgvU~g1ZYzK zyWQec_mrz7>$rZT5Kvq>2YnT3_Eo>cGjQzv?+>9+HO`s*=o=TF%Vg7^k6boAdWt{g zWVk@W4w5md>LSJqceXJrrs(CuV>Dw#K)fWLKPQX8#j9xa&zvp$!U9Hkwn-D)oLK2%~N(^e^M^D`^N*9GfMqFdlSN*s01I zb^O?}_09E+!R3W1zmL2A{-;Wyj$egNlG;i=i)utQ)7~2jINJ&`+yIrBl_neIZKB@x z08^~PF}B8lvHPa$Y!fRuHS9qxtlck6H;YC{x{94nzEs#ttXV(Tr+;n*Qfp=3!xcxA z25 zCSWI^vW{q45xH{!>`Wt_nW`g*hP{`d#D{P{2&Zkt;GF8KXLdYtvZ-WZ05PkopV)x) zX75LwVh+FhddGpYpfyU`i{}s;-0~wC|EnYi)*!Z(NNschY>oZT*DkHP){D4h-enO%wC#y^iSdCCSJaRRG!sgB;RQSYR`GVLjKX3 z+2#BKEAg}crwrK+WXQ;%3d&-8+!q9ZW>Lvp3p3_I?IS%baDDUe_^T_`SAIMf#|lL& zAMb-q6Z*@S!>&fm3g$h@F9GpeB`dESgZUhzQ^7*t*ai~g%4|NzoYy3qD_4X%_^xZ}@-g(_K$)v@@l|bgxi1_kXC7(^JriV>JfHVK@`H6>u6TNA zQGYUYf&-c=eX^(iO5JN&>Zp7(xL4xlaztNlw>L!QGs4fz<6mV}Y97#G=ebh^y9Gbr zA-oX#RJZ=x9BZxIT!XMPunAXTbY>}dog#HOZAz;id4c+?bj>RIV47wy&l-R&`SNhV z)re!cUxyQ#VPIPT27t$uC0SeyH+%4V{KX4z3oR`{(&hRVNQWDM>_4o(rE8i)5HvVv zVzTGRYcMm}t<5w>u$8&htTY#boOh^9a`Tn!-s%Y_Kfv|M{6OI(=(GEfl^&vn>F(<{ z)4MWz8KwM>&Q1gxP6Uv=8(;& zw@-FGU$_U~!RURTA8K*@S?d!m3A>SR1eQz%zESE>Dr)AC9&)_&LnrAGMEVUs5yoAS z=fn4q-QD}X=yXSV;#ud{pt=(3yqWWL{+$whBVfcFGl$GT+Ffgz*>Q6(zeRsKa53aE zuiyGT2Jiba&}WPkcG5F*vKP*^fuvqzZ+f4$UCBDb zP2>@-I`$KkiVdl)q|z`0^*Oh_uTx-~8QKk;i`E4|Adue|z(lY1>fhBEw}DSVF(#WlbM3=DSfGrwOUf!u&j>rRQr>@Q z$x1be&#Vt>Qs3mv{dW zjmnkDmLUSn`^5o}-Zu=t(j^rGNX1!HtXXcfJ^Fuc=^*PBOl*M;vFSGe2)JUIO@W}R zgc6r_+6LfNmxJ$&k|#M31d?qk=UqJ`kvgC(#_Y&tshi|zV&p>6(G&r zYk}KPPC^eoK9(1D>Gi#6#$=acXmJ7PXk?HY5GNE!oNB&v;0Dtl?p!=Zx9KzqhTns$ z>P7-mKLJBe+fv(edmwo8^9b0y9mARMVu()a2u*4Urov|)B3)S;FOL6Z&bSjlv>!;% z5wOC|!FxWFpf!_GFBhY#c2W6X6anJQxOx;1+7l^JKmP3hfhuvL6P00m^GKszJPR)|x!d zxO$aq6-dl#sH+16wNKPHD^S>`vky`N{#ilb-2y~QECGiD&3EHTaP*Ffyg^k=LBhS=*81r znjX1bu>+kYEKkiHIbr9@VoACO0$Qoocu^s-3NA3jOJU<)$wOBM0@gP(Jt`#6GH*BpQ2(YDUY$mi zrAMwh4SfjSd}3AW|9l8`MR3X*tlQZvqntNk2e}5}pqW<^8@dOq3Cak3<1l*X(z~MP zUSpZm7mxJH?FRT-1eV+qG&YTV$uD05bA}h)MC#5hv5L!s_%#r?4h3GGef+ep)DNAg zCCy!eXJ)$l_1*q>)<+?iV&@Nlp8bxQ5bY1GEc=5e03%q!(#OXyF(7TZP^kYJTq zm|(Q3^m2;*1%%)EGY^jL1}7CGHXY-kw?0On#qX(g9Bn!%(qV+ntF?`J7_+tlJXjej=dx*o5IiZJL88GE@nie|%bDRRCc^t{f{RjSZBHfc#`y?r<=<$DP6d zyN2)y+5wTxj+N&AADJ#WCFB?SC$Wq}p{6KWEl| z78@ec21L^M2LC=x!b%+NFtTsFKcpKN3;5R3Et>3z93R99TM zJB&a&`(-(!352;Bfix`9M7e?|pD#?-UMB1iE_V9MmI&q>>7ga z?|ar;&mB4c@XAoR8;I4AiTZz}5R`!v2!QVqYiwe{K-@1J^tG=DBx8K#JGsu&?383B zgjM7Dr)bgG5h#ck=k-lZ|XEn~skhto)de_(FjwXkC#St<1-ckD5gg zuuIeQG&iPOL?fb{ z*yxv+W_NU@EewFHL&DfxaB5WwH%!g5+6K?{M+mo8Vbh^w zODwMDm*5P z^Fx_ZFdG7G}nI>cgR!rfHZg5)-OFG_{W|DptY~RdMBiV@W_7mP}|AvTT zU#>3CmCUP$N|+LhY+eSxaVo#2Jx;-fal3K)Y`b>cJN#vQBtKN*@H3~^;;>Z*8SK%B zTFOBFBIX+h?Zs*2>rJea&1)CoPf{Bqb$uT{yuAJf{%p9psINp-ch{B@e*R?w_>AZ( z)2e%W%nKN#ekL-Dki|aL%-uk}%H$Uf`g;70Q)(*f-tmld*&)aAge)#{Rs&4GzXdAR zh13jCQIu?54UJ;8cg2ZKO?Y-5+!uz*DF#VL`Oj7Bm+W3qQHn&_anWUNqk7sZhE7l= z0=opqo_kLh zwzAC8r6xPP&O5-&hH3l`4ZaN9k%j}$fNVakZgY*~@iyE8amaPhm%rMmSZ-wde0_Z~ zHpuZdl3f(t9z2Art*^c_A8xd>$jRO|eUEuFQ^RCcFbrXZ8av{pVa9F0pp2Po$~6cd zhQYvT4L@53UG6nKZnr3xFn7rb-FU_|FL_UMNq+mNeves1&4I^75|;QH`*&~@5@hg< zlxNWIGnr=z6;3{MzT(pjNmFBY%Cy<($DCQ;Y_5M~{B$L5Eosps$oqCcMYHOCW{w`e zPZ=UYCJ%oV?57mnJ<6&XG^T%J&+=~K?f0s7jSP>OAMA%t`T3G;{l+Bd6QBLc)CUir zcQ$1`0aT1p3)i&|+}#G#8pc%1o9}F?BbeH^v#-6sp!K0q)2kLd_22cdWBVhmyEG4M zEPcNk<*w4a`-^Fode_$|Q##Y}eGFliLoM8ls&ZUqqf!(+1F?wbZcUxZ-G+CunHG$h>mCscQ%y14CJgak%wnd;YRCaGUrFapA8%uZY5k4qhpoh=6XZ0 zvJQ#6Y6x4FvCKhtEHth&tzyEzoJUK;8;8qXsG#7VC{R`m7(&ADa%0Lx-PIX zx{cwEi*hmu3jb#E5|{R>3ID`$T(}`C2zv80*FsDtOZ??+f^+*0+&_1KBCE-rOmF6> zBsm!$cW?#T-!80RNrpx1-ZMpNo`QXpP{tC8dx21J)O+6m-IJ<{1YnS>`z^v-^ww^* znrdF(Cj1as`SHIrlG&MY0RpZ_G8O?lC_HA}okXpuL@;N+c+o{eh?_pwip8iy zD&%uOG|wsD%mM&#;5O)l8&3M;y{1~bn8FwO?ncF5Nh$&uy`}5czP%akM-agtj3Iyx zhmTtR7K^Jlgfs!B#|CIE;7L|yWv*YrHFi_(6og;sp%4*D~Qpal6@&|u9!5-5OmQ3Q<<@{f#lN9Xz;T9o9^1Equ@$ZVeg<7F?{o&<>G zD%?dI_+kyHBTkDZ7=fhg9Kd8@a77|7;-az6h^!upq?_{1oME^%TG~dEi0HM*|8kXz z&!>|w9NTW#Uc?5fs^}iCBAR`MPE8rEhm!;$2`Km_5GyevWN3IJP3HSzIxN&X{}ZYu zOMzDzAPvyK8Fv&I_BliQd;BTpHAob9ciyZ6GdE|}%}s#f*Z`x2lVA{x7LbTj z04C!dn&Z0KYd)6`F}?kIAAYB-n?nzf;J=K$I+YOEsJ_=5ZrsjG{*9ZGrJcG+@CIPV zhv6w}>|Fz36Jybz0xjvqGW_P7O7B^~t|{8a&%BhtCz>IlqXpzQpxWRO;{tG6X0j#m zLt!U~zF7+AIv~8G%Ca)(EU@};9j`&r)zyxq>@mOn3jK=iU}2~3sX_~tjJ-j7EK8@H zr*rU5hinxB`4rARv-Au63tj=`baX^wBW#4MyG6~;I4aVTTe9E~xcqfbC`4zMMDO6+ z*nYN3BtFAWhGv_b1KdNaB>;TKfCz{1%TE_Uv`SLSHo6oj*b~|T&PGTe+CV28VFYHN zqeTwZ<(CKRZ)`6BRC9U;NiuA0WB;+XbjP~}&{8ab&G1;CdA+#MFAaaOod3OrFyjAh zrUkaBC&SFb9{TXvVxCY625 zdq7+#%cbTH{Kh*ILjVJ@%FjBse*O8yzr!lM%t8D9xE0S8T_gc4g$E!~FSv4H&|~&y z6=x-a#6c=~5Y4AQTS6vkVyiedNz^b?x`r$~HcTodcj$@U=-e=)hLG^3_S+Z4-M3bx zJ-b}E1E2K=X{Lx>HC)dykLuweGo5g*T6f{5Vz$XH@4uCTdc?9)_4BAa=()R9J|Mt2 z;Ll%9EW*ozlsVbQdZYKCq0lOJWICsG&os=}wYU;&A9};pPbz`S(@M2#*Z+hIz=K6{D?pXt z>kC^f;YvK%2R z0LWHxKqAeS4yU>S448NKq|(bR?x{a@2(l5T45wa#9o{HaN~Q79ufz&rEn;<)1^BTy z9&|1BgU;@^L0!N5a3CKzmNsLKyKgwDT?3kNOVU%sule7PLPYRWVIjuZ>Gcuy@_i(# zf&;)EZ4V9jXftTlxbf6~3Brfj;$52Z8fMMnbe{jFB2< zFt({A?JzSsS+J`L_TnP<4|T{wQIC=F138EfoVF6VAAHOsU{)5EKd!v2OQJd_8Bj(M ztxT6@j4A$`2#dwlrE>&C)UD^#0*9oOWDqA~SwA1GI|cIp1cF|C4YA zkyu~%yv2Dv%V?!S(3F@sb;2@sAi_1qmMAh>Mn3-I84!j6J zX7q<)fUpCLs4^% z0v(iXP);$HqB!q4U-j%U;^S;FBdm~)5__k9NOrcnGHmiQS)U-gUZ7sPLH z?DPca|2#m7BLJ99BAZYO5Liweg0K&JgUy&!{7;(z&-C|zzi{AG2!CSjY{{Csk(jf- z20;|s0S^Su+%$_D1(e3}vK>wCGVH}FO9v^_Bm-oFc#YB4%D3s$&J|qR7qDN=L(lGPod@#o zf(Ud46xU%YM&hz=f&qAWMqsPRymZGH3XUfX_!E3w3o>|wq3lBv?9yBVjZ*>K9|!{9 zu13{d{{!aAEHc=ihe3DbwwkJ7rQXW>Xb0VgJ1q#eTlsGT^;auaE6bS6k>PxahOY8?ld$oMEp|9bu4R_TiUcsG|$QS=!8kFXu zL;aB2$2Pgq;8nR0p4R}5XL zU>#c%v2revFNL62akO&r<@y90_TH;Np!pU7-N18gkQNUlYi1wt7x&5l)kM(sV#JcMdW}5Q4_JgT{uF z8*0pY0ZSZ#{1ICR(g+nn(JlfJHsVIqvKMrkgJ$efUw#B43_$$&g;v+0?HWPU?9jrD zK}HC3mWJMd*;^mL>nt|F5Mezu3a#q1OwCyX%|`kXD1Jy%){MyyplGWhQNM#pyZX~# zj~6t(3N4lZ8F~`PUWEi|Xt?%@t-;Ki)uJ|aIITX7k4|SA zAGq~r2|&=zY0`I*tE0OFR@%67qbg!ul&s6hx_DRNoMu!L6gn^P_N!y~6K!kU5TBU6 z(kl0a`0<)G)ec;d;e<{+=Hbw@3zNXv!)o6(TL-&>@YADAFJjM-q#2m)jJ;O?%pQb}4 zx`TDf>7U68&`D%tES{_GkBV@tNyey~)XftbWq+*{OKs7MG;HA_#R(EIK-s)aYYGR% z*n%ZFRC&@+kmS&QU@+tt%ANX>%!*a@gUcAq1 z;x?L-{`gHNgdZBM25@ooW8YoG*X8AR3D=7g!W!*-YP~HJwnj$SmHl(~Qsjl3B@zkT z7Aedv(aU^DF4|`{mJ%VQImj5h#f)z`#$)4pjQXHKg1gA3Xm`!r1%_x!Ko}FoULy7l zaq>M>T>Dy%KYJ7eAim9M9d*DwHBu_Rk--@t6Od@aAXnpxssBwrl^g0Cn9@;PPvny! zVvt#+cz^~@%m>j$G;Zl`yh!g@2ZbEp;+1b*GrEaDy^C<{)YYILUrW~8$!2ADtXNyn zN>>L`*`E1 zAGl`6K$UQjezqhYq0A70T-v}>0F4LhOh|l;p-gDPc2Hu7vRajxBm(8lJW=}hYHuJO ztCdK@Egi@nkguU1mrU5dsQC`0%h;Ic`NOg-l;aH61%moZpxpO?{XOSNINcP|C9PI` z^9*Lg;i4L>GQ7r3+QhCx>l)HzV^j!;`qL3816@O3KoZ_9NJ+%wQDROqHAcb3qac}S zJr4;K(&ZT9-GH@4K9L>C+Pq@xCGj&|S+leod`(=$Tj=U6B;^SLKvn^7vB=1T&i8%o zI#9{ek~JSHyCKug1ld2B4^vOptJ3ZqjNtqOHN@x+Ic{Wds;oo1_}Lc*-bG+rGz@sT zM#K+9S_D@qLlQ`=jW8F84)MtXo_rWSI)US9y`1D3FAKD>00qIcrL`q^us7(&d3UW& zV9q_Y)>*BWI;vt_4s$76IrpxmmB2U04`!|#3bsn@11adKqH}{fkgp?cE%6m60-}&^ zcz9h*&AU{c<5)CNFBj;o-(*X)8wn2FfkrkC_iRX=c*p3x}$3-{<<;P)11vfRt@=uI++RiuL@rp7UcYMh9{WBX!c%{Gcvl*Kwm zVbWI56`ht+W40b4D*_7@?glyf7}k z8pF0r8&{nsXwh#sqkE6R-d#!7-OKrg1{TwJ|1HhdbuJs!0 ze{rP{4gh!;ChX#1?4hX1^`_@F?l&;!Uu((u%L$9?i@ilLq_=fJJ-{Sn!H?f*rgk2lWhtJ5)f&k3^LsDvr zFIVlM!St(Hlq{>(fy~9VBzA3fnwny19=7T{9XC*szd1b0>lBR^&HkeH)Gi9=~7L7htde4 zb})AX(%~ZVdLz0O)djwiq9D^%BJNK6{+mW37JkuwB_u~BKE#T29`Z7!{Uro;wj^*} zo7j)_3gKFVw4}p0FN};51|aZwUg#5!SdjQ4%+$a_y@ObHJ+fH>r}~nR9?R56a@6(0 z(-9RT45v)RZ&9=NKtkN;X6BA>nXWjm0yJN;YJ@9zma|PmnEoz?zb0YfE5;ZtbE-7X zh1Cv{0d-hkFa`Sl{`!CaLEkYvUGrVm*w#6H_=zf26l110wIGuJCq)D$CON?_ae4f9 zToj8RdD7qAVSy@nvY4DCp`6kZ%VNhL%8%hG@q=)ihW8GWI>}m|mt_dDqR>>??ENA$?^r4Rp^@dyyGngQ;VInE8mm7aBo(Hyu=^v(a1ictZPY*Zp0( zH0+vlfxgZ&h{kJpQ?Qg^`e?K7V~97i&!DN7e{SA5q>exPRny_g+Qzd!TAvr?-ft?z z7M`QDy-9U{XnH}Gu5M}F?W@iaRk{S9iWivf)1wA^vAHrV-}WZ>71XOnxWq=~bZ1Vu z)(51xn+a~(F;}45LaNT>kADAeW;4d~QJfgNd8lD8$MugMt6m`(RX>0> zmqQKg-|G!)ozDE4v{o!yuab*fXqqz~F#Dd&e8ys!4<`h-Bi!)DL7CKx3mP!w3An=pp>S7miAiJsq6-yNxmi(53$s^76ZfO%d5_1TIWke3 zi3i7Fnzvo~h{A~~AX+KuTMrJeasKHvA++Qok_rv$L^OaOK34#fX%S3qr38vaa!;tJ z1YuG!$n}=}1NvKgeAXIy#AqYnhTM2u<)=J_9+aUm? zd9i<32H8d!vvwTMprGT<6s>iB6IWc9!sumEZrjW>1ZWWulzZ4ll4iu@!IQ}{n=~sG zeq&}I>cO9z7Q=~zZA5efK{P|SgM_PCZd^`Xm?h@9{RNP_ko9m^{gmVJz&PvaUNw zouBdKDB)SezsMvUMdOa_`B=FLWZV@?p{cKhNN~<;(b17)6CX|ZnK;$4>Z~Pqn1~r&^?i+ykoi;=!f{^ID zhE#M@7ToS(4MgQVxV`rBS0&Ixve}04l62=o#Jg7BUI9r@z}#XXeg@(e&w;FN!5_JP z(vQZq9+czhJl-Cam;SQ7cjOG zN-JW$nJs(_BXM~Vas$Gn3~h91Ze9u*D1U&qmk|0Z5<{-IuK!|z;V&}?_ek7AbmaoG^}9!n?n^*%bPS5L zs9|##Xh9MM?(jN2*<}OGt9*`!d?qGD;DIv;OC;Nai+Ici%_OU$F0OzSfZbWsS#OZ^&YWOwxef^Q+3!)#|ZVxFuSLO3HE zjo${Jx^PCZjF*M{_nQ(mQ5Q^H>QUTcC&ih(a#yMx^mP~vnIeew`S=L(#|Q^*g_Vo( zN zotYwiH2Wix?sTqkf6j2{e&Dv&UhM~x*wnRBC(O#wAS(Ly%Sj-62I#j&U3xM zuAWdAzb?%hAU8e`5*{y#6*u>9M7AY+EC9fnSp>h*IWtXF=|g#S8yB8z!wap@{T4R! zbnJnQlgG|{+On;+b00TObR@~#;13DXUB!u*8xWPJ(l1b)xaaTljy?#BEX&=mIiWW1 zxQqHXIqihOD$CQ;au@ol5>jRv7h9c{?=|PRrmwmdbG|*(>$P*VR`}Jj*Gb|^ImfHO zlP4@~$UlRF%SA_-h8(wB9mZx8<+1(S8Bw#-gh@|K^BpUiL(Lq<7wC%sE9SeWuoL+Z zuKD)JolCf<^BJGZg1<-qybt+lG9xUg zrw9`Uo|$hG-ne{`Mwxs$J2a>oCy|5>H?T^+xcIjmmL|I^Y`;v}=d#bn)Q&(Hv9flw zZRvI)p~=8Doqnsp;WO4@BEYDq*6s(k&lx$Hc>2q#PrY#6X-YPuh~~C3EtW5AM_;M{ z4YKdso|{P;MK1O~NQ{0ZQr@<8?qIO4&>mPEY`y^XX5IcmKRqTC2vEu}q-r^={wN|N z>b1w_4-&fg+2r1kozDU2q>ge3XW}>qb=b6isw#mpPx*`uvqNGwkyxcKaPGw!1t;V@ zO!-vS4sVY0;27fT-kDqpgm^jE$@i+e6V{-jDIkYn7`SaA!EiJ2ohpvS&B_eaS!;Wd zBJpJHiVr{iXtCD+c`%;})!t98kG=FGyt(dqb!^0}_6$ec?}yU|k)ZvWo=JhoOg_B6 z=OiZc5Gp$D63voixfF4T8geqCVtKS%Qch2o!kEANmkHIk?)gZ1vJHa;!6Vd3b{0`N z)%dNNq9sRFCsc`fA6}B|1Q{9;`MjJ1k}g&aPRS7u1r_ zl*8H1q<$EwZ>_g_fr=_QdJ0Nj=@Sh>N=J0BprQ=_2T-xOPLsqq(o?1%CY1^k_je$% zxo!YEyv+@fQM!3@#?E@8NSp_8CVY?nCXuSDw<=aQq18DSh5A`Ii72hd#yXMGX%$(D zq`_UwsjcWyx%YR(WaoxM9m3i}Evs+u0|0w(z`cWBcso&(I)kv)DF@Ghk3{2%TaKct zDvO@-6-!#_ZIPD}B5$57w3>>%G{B`VhwSV5j2}pE(l@#r2BqVEEw0q^Al2cVJ(Cb;mymaObvI)pNbr%cc+z??x--@_j=BXwZkZMH zhK@7eIwV;;#JVT?VqB@|N<`U`Yb(;u`w^vQ^c_@m@Gel@UPUsBFgK4eBQiXWg=Q~n z(jqWxzwcaI32S-^nvHB~ew6awL+e$C_)MvA38f`b)P3bX=GBV4(fXvo#Lp0BMWSlH z<~)Rdxaa_XT9$@oumIS5Y&Q?8Ua(k zCqT~7u}JEB%6!bv?!%KB5d+m&9~H6!?`M?6QxO`lO8Fp-o_5j zQ?+;aSTZ;g(*Apou3`i|IgfY#dVNZi>y-UV5uZ25`NjrinA+c;Ku56Hjbjz&PEsDC zRpO6DX)YKVwHsHWjh3%Ti8ctRBpQ4Zc=i}Ht3nkER{rd3z)?l5_V( z;)Mb4G#?2Ht^&hc4*jfjooc*(U?YnR>3o7RhCGccP6MUM8RMuhZpB%kvePaFP@=@{ z8C7K0ooMqy-ItHjQ0c%7@w>ax+zYhQw#nX$nd!$wvUl0~&8&^gVr~s;=%-FslqD9* zyw}QA2`Tj)MWW|NTOe=juR)YcqrcX5@*(qU36`Z}LQ~q~1h4qA&q&k%J-#^>+@GC| z1RiGeuM3*>L)r5rN9nJ@W7`sH>^UD+h&2~;@{o?s*v$3ggQDgEQB9xqV~+YkX=o2r zr`r4ejD_7{2-2HehZf>f@C2n9-|OeOIx%dgNZ+5l-TFZpi+U%aO@0Shju03i>XZUFD)OQ3NI~ti|L`N4}>QnQ^#xU=+c|RnB+%$&3mLBcX#6` zgAT&{bwM9%qmr`E>^_a`i*xkaJkSeeYTD)}@=}Pr$(U_p6ngtQ`F(5%j1{FZEc-$8 z?*880z+g;2WHs7H9KS))j~b%|3X^R3wz2l~4VB=!pD~E?mAffTvYfeFL+-JcC}g-P zCwHceAvyJnU!JC^{I2z%d7b3og4gC^FH0qNjw_%;5yj~0sMPpv0$A9vH!%K>#&*s! zKSdM~S;vCGf?OSqm3c3A2?iYe(@AAvE+GbLL?9SG2df^KX4;2;@f_I==N~s@KZ+_9 zqk6jq4u3Y*EIL;ve1>5?f9IM=zJI<)C)gpJ`FG<^<%YJ3D$Y!7M!M^fuOq?Nsjv$W-mtO(J>XSFp<%# zaOt;M5_LxvuaVw6vOO18I=lX;P+Sua6!_mI$x#)%6+{FZH8<Rbi`dX?nfe`;2~6!0*@gqLH@)LB0zt4<0bJXAJjk>XkYkq=UWNE=ualEk zkg#f?n9F=NX`sXZXs7bD@+ipYXKOq-N|mx-wi=jd@=bXLZOo^X^7~S2e*(3U6{RII zZKt`UhO`EH4~Fg=D^*-aQWdK|P!A~nZr~~Is&!GQ#Hd${-;{_AD^g({^D-{UqvhF*Z91F}-6 zKgSszxp5*nWwe7v#dn2KH>iQB@6t0be8C*VJQS!%sHiLKh;q%%X8ioRNgd58!RSr6 zjp?juP52l;&~-h5w>9d;$LNV4oZ?8)7xI0xQx4ep2 zbQ-lBM|6>tgL1;V1EsaWT5f7aK)b)C+103T`LxuPei&apsL7SErzIKhowMh9!K)xz z-Fm9*aKLc5@PV3SNT+ShCJ`=ouOT6Q@1l)&k9%hrPcq^3R(LBvRM`9;0&|WjO=mrU z!4z>rs29*Bh_L5&RiNHH393Eydxf&x!hZPRs#4R@w^~jif*q+>e6(6GMFZZ(y9q>O<3RfF+N8xj`44B^J9oRvXy1QzKS;N~z_k z%u1&kQ;WGyQrPI_1}?t@>Id=NEFyYDgd-^(`xbXljrYs)#;d!xG`rvk+t8*#BV*^6 z_}vrZze6lt-q5vnMQ#@Hp5BmS z#Ch%29n(VS8gvlG6KxuW$U!$&ptYE$63F}#55;5PFbDlHx^r;y#e7b;7$;*y(P^rC z^4R#HOWHfn53mV&CjPANE??RDI+{OKc846zy_A8U=!^XrmmB9I55)f8eq5~xd|DqX zyPNBBIv#d{uJZ7D>KPl0z-e;Q!E74_HHsV8%3aJ!jMPf@H|r|Nv;$Wq6N+sVYjkL~ z-J*F~5RA`PsG=RLRaHFxckF|w{1j3_9IqZKRVsQcrYza{9?Fj)Ym}hpV&cny(7OndlkFMf(WiE4HD%1fLkcC^x zbo42Effv65VTTAgl?4_Fy+Xksv?9sE-a2rGkZaBCE9(Zv38pZqq5H$AHc2I1N(Qq5s{s}xxZhrk-bl@Dc!0Kt zq||657L2~mKc1RVjmj^CEIJ3?r_||)lQeyzCgIx~bLm48>i(LeC9lq}iIl-x3luLD;$TGpGx?hBV|&OLZ9nYCdd;*3B%h8)i7atWZFE z-vuVB^_*xboysaWEJrUN!;sw5mPV>yJV^}+eL{HcDN%^iSWTv+>aM2z?iuI>kj!pAZ!O5; zyedgPTq1;lny<^Q-`D%Gds9RCX|Fc`vaEaIc1}F{%xFWCG&6X?-_`6X zWw^-Iwj{Hi6FmXtOe zUWME`sh!`6`UJ_-cOYa2Esv=G=fw5z9?!?&*PNcsTm9YykpEd7wf=F&0GZ_s1kf`( z8q$vKXp^G6%rM%?6Iy1>Dm#@ zj)qkiA$TL?N|_CH;_`I>8IEJ^wKq*Pu{K8u<+1qfVKj5*dJE;$ATSI(|H~cmTr_nQr|e)V9)`3gZvSWewNB&mymyR|Hy$-^beV{l_ql{ ze6mmHrAEfjCY*NT-c0Q#Ra>*Fo;+)iYwqZn`D)LIU1w4&9yz!ybf3<6CBLQf9sDEN~cPvjCfZsSNMZs2Wb^Bhm4>ABcH=NM1o?>RC5I zO5crKvx6px?pJb5e1$aJYAh?4K+XgoM1bV5teb-mAfOJ3V4<9fJG}@Mn%VoF3?($~ zwA*U{V~`XV#19Ui1!~XGeL;`TKL6xA{rv(U^>Afv0Q%KgX0j-ycO#;E_%s=&M`pgj zxxw|`0rhbG*>z<_b4R~G`;Z{<^50SU5u760bBp&S><`Rqint3|JSCq12lczV2NSbX zi|A*K!UaZCD*=8qIO^;H+QKQ}B~$dmD>T;~uXK4!JSfILdX6V*?7=dmD5J(R)sMD< zhB$jZLsizdK>w+5`!HnRh{>ov1YJS*$|CITNm+tmYiVxpTHlpPgOYv6yHoWD>MxMl z+}xi+e2p+HS}j==)x*-BFz65LOEF1RveA8~(sOV0LEJZDX1~eTqGNf)qRC3+AW%N> z^8av-mF~xRl<#*6prosI~ zHz8++=|eXU>>;9iRePGR(k1>_UYQD#puN4<8r%9Tbrg7JtNF+{~up( z9uMXI{*PCd2r?RrLkm7wu+<;S+Xz3$PyXZ8H_ZR7W+~-s&gb;Lzc>}Y)RRP z>>*o`Y`^Q}yw5rB&-?LveE&F)95ZvfXXd_Nuj_hV&jsT<+AegOfBf%7LaXC3oF3g} zf`A{hUErc7TBDX{BgpT6z(A>YBL^VTPGmpy4F**TLfj)-bn%L4E{hBR>fRg@mNNx0 zew*(Gyn+9kog zgU|WWv@=}&9O!5K$ECx_o5iHkxyFwUBTW};eu^$OS^mQFCZ@?azs%()j=_H)WhYS1 z69g5%J83Oz>1c@hVtNhl-DV&KqA?h9bwJ}Q)mz^S;^V_foH|z>zkBOUNrQzIvI5JX zLXif`NyhkpYdLnlgTlJOhh|S*Av`~=GItZQ*GrMMf}j56C-J31IcZAPzM5a)rZNRa z|0CeS<7%@6$PuSWI7#43%)NbkhMt4Z%}rz+q%i1p@tiWWF9UDIGkIG?FF>?31fl9? zJPP{f3pMgO)HNaj5iRqVc{$=wlbS5`R($CiEmg#7E>nVO>i}3e4g;>1a&O@dNX1-_CF&hlQFo>reku6(A0lNL0Ot_R zbbD=8@y}2S$jT^(gEvn+8Xd2=fHtv z8fpqVA*elVb%z>}c$ zPocNtr5`}rXV}A#eCz-zo0LMTqe~-Y68M%giNU_$oMy_8Q+a^NXK@-0cg5@*1s3WJ z$aBv|jBw=9KLF~zsfHN7nFc_Iv)s_sT3&SMsIQu}3)smNPzD5P*+g(E+n}7D(~04A z=Kn;braQ&oUk3by8xxNif!kK#8(4HrB8(6Sq=Yh*xq@U`-!`>#bRX)n3*hic6*Ku2 zo|I}F7=++{j(XM~FfPMhFRP9@ix|dyoLW9a`*>59{o#szIWTnJ`*QJAE&b{M>{(xT zqEvj?fLH4Uyz~qUTl02dZ$znAn#2hG!$pAw3zYMIMsl+Ocif{0`Zd{HLi?UKz%W1Q z{2lS7+Xs#g0H1vfkrqtNjT3Y?w5aWeU=&DWMiQXJM!G@RljN&IL#3o#u%3=Jxl%5tTdm#U($@?g{rl2M4MfOBA^_x28iZX z{iNEw=(3_j%Ue%39RpR8G460lpI!w{vPs%hXw8-d=ogWz4<#19;VRd#BJemGzaoa` z^=YFyk6VczT>|8y-$Urm?)A29U|)8wqfoZ}vQ4W%=4)hq>05n}Yr+b$2-S8<2N!b!m_67miRm z;apC=cMpy_3LPPBN0rK0zJiKHuH8~o|Gg`}&?<1Fy}*OE(05Vi9J`AD^n~G51WZca zsl;GzEb<^1@Y!wXH=k9g#YHkzCzloB*}#$b!2$KnUrZv4KM|Ha4*Y!5LCLHXlRKnn+qqzFw`hDjw9oi08_Bs zm^-oO>&|zznFm`xUcB{8@UgZ)p(0^f-P-60It{|omlt>R5XN=& zCxhl$7KUV#R>p_ACU@-K$cTKC9Z^CC(*3j^F&GLx_uafX()Y;SD|%5i$Llr|6A9B; zekXU9r{RuF)((Wge^!w!ClSLj4K1BCO_LvJ|S1;#8GTUl`j)vd=)&8i0+ymEW&%Fr$7$yq4L=}OkQKa2h14ciEQtb&YA7& z7p115`XQqy*gpkL{0vw;`~EKMbTQEAyO0)rw?&qFfIFXuv`)hpQGv2=48rH5>xRt* z1w{t_%WCDXljGI7ui2z!CCXgL)ox3DZsJr@{fT6ERv7)uGxtHd?^`1KEYZ5>Zc@|R zH_;=czBr)zTEB+dznsc8ersIg4M?}WWLY#rkE5%@%kSlu{1*krh4?Q%ih;Wu|2Nq5cm4o-0U@9$tc$+Met#MdR* zSa4j72$Xn#>%~;q3erZEm}UipW$d*X9W(ta$Mh6&ydpNoi&a9?SHJ7COJBVx_3ku* zg|>&So|)%JS+1UWSX2?r@++=Ky>0#x0Cb1(gSV*P2iItjq0=xxW+Pj?cM1RNl~Q++ zc!Bn<$Tv4Y$}JF+3INF#+XSgaf|y8}@KqVI)e@AnWxz{n=?Wp-=@u!BGo>v&0KA-G z?Q3<#hH7hdHrUbLXqIo_WH6s|?_5z47;p?}RaR095*_d@jcP)_gzs`vQyR+;ah3qF zU^;9yswH@xR3LqjdPK`wDc`Je)=+VT+6Oj{v4gaxV^^UY^g)&x{GgWG&E&MDvgH3? zA#e_<66U9tcQ3MYNL%8+Fb?GtHkiO4Y^gIG)h)23(q1gAF7EQ%FQS4uXAQeVsmY(v z29<8W*Rd1C1$weE!Z+4Edlp?_evEc_g?7s>ZM>b3 zY8-6*^WMo-B;slIyaNhVgH=$Xm!U~B3Jn437Op3|(mI_e=+v1@X6l)&joLMX=uwjQ z>ybf*Jq`6OqW?Pzd}=3N1%A%%)%sQa@SeE{3MxQ)g5w{KX^3`9u6BYB*-3SGAH{VB z8ZG-rN0!2t4exjczT{Vtzw|z?=7->2hIX-U1{pMI?Vyx4QSr`5l)tptG7>me#S#s~ zGL9xHiyY?fM@D=HvvrvfyNvc_o6TV7)R`w)UJ*Wug4fIp++jfGg4i z9~La15*t)`enCK-nv47R<{7@@>DYM>;=?wBfOXJX(|M*Ny~OxMX+y^;=f?H?H+2Ef4^){$0ats?=!Q1?fD&6zr@B6&_~=JZPfgz z@e2EO_;Zw^$l_24x12*6MnuQNt^64us|<%Z)}Ir4xR1d9Y5O|s?>BKkS;oQy7I1i1 zo1OvJ?(iyo*m3vZ%e+?Q?NYNiQD&H-()-GdOPAzAbP=_91e$X1srS_u5SVu|{{~o$ zQyllNIw{xQ2>03ODYPAgI$#nM&ga&zlW|FY*1A@Q3~|j9%VhI-f)f zu{!LNmwnF=CF^*`5Ob}20Y%)|?IVTxZ^%-#b2TpRL2x{n$`o73_x0q)YU7>k0^TxdH0crTF0RLb`!T4HznP z@F>Xbex>AYwez`9$0=a99zn~hb~K;`3BzK zPB88+vN&av$C!t+q((O}{-MXuBqHyRvU2}Q7fg=zhqTeu65_@9DBXXPBf(z<53KF? z@2d{!D_C3|Sl>RI30W{}%28*Fpad!km3QQ>r&`Ol zhKw0P4zb*o6Yct(5b6%ALNEsatcNDJw9nR=oK8&A{HKZn)=ga;iFZj;hh22)MD`;f z6nBfUig3M#At&w)D)#Nd=468TZt65^T?&NGVE`~mNj>#tI-#sn`W`j)aZbTt=29iQ z;hUon@lRiQ8^;=dDx--cL~0@ki?D)c#YdgibX7k})LWo!79#(`s3yV@k)1eLY6qf& zI-lR) z+{>9QZt+(puoLS)UjL7kODYPK&e0P`yBr`b8xPFCV$0} zWx5&>d?z19qQ#7BdzyCS(b7J82!atchQh$=$R^Cs1P0*7!RU|Yf)7eQfCON{a`KSW zSFetTE%#L|gvPj38?uaGsA&AsbKL4kz{AOY@ZLS3KOQmZ1ng6=Ss4RBq4bzehtsD& z9vo+RjQ!4=Cg*tWKL#?#uMa4K?+aJmI;f)Oeqg?p+LnGo0gPpUWY(8U|?-u68FcSi-;loPGxl_Y5eA+4NmQ9rv{-c+p7CJutRJwk~q!)W@Z}psD8oFt;T`^#oXQgIORwczLHN zxbeSe$pLIn4mq`en_E^wsu#Q-y%0e{@IvsedJKjvzk}7NQS&)?g(VoRfVIuXC*z_3 zi-5I?>KeeRV9;_YODhVVISS5oQD6cN7Yi1_$8hNwH5+^dq1!RzUq-L|*T6kI0MKH< zk55A{4}Veq=4=nV&<=t#0cZxgA^^=w!1Po&T55=DQRM6b-(u@K75CvcfLu#hRuaG} z<`TNfq=aPmhC`GhyK-Ge>z_2{cC?WHJo>9$eVfr!t30F|VA*U>)}hMeH;}^i;NpbW z%;J3k*S?as-Ytt#t<*L4xsG~bGK7WUv?9kKJ=&Mk1|XFOOKq=}l4xq?9{1i@E&%>_IiuCgI(*`{WSoam^|geU~g7>Jd37Raj8SY9aGKvXN;du zSC)b4*Nt~Wf0>g%R1h7{jkL_wFcbl6@afsNSTf)oZAj2jeaYcHfY;#aVwt9CCk$DW z6I9>8Euc{A_vUSJKG2O+o4-^7bA5B<>KmB3UwKOKEHwSE>vtbOw&A^#pFUaI(VCi? zeuF+3Cu5o;e(4;DbK>uGG3ANm??R`ml+9PX_WQC%lvhg2jhvIEuLH+$!^!*!YbS|C`?j12R>AKn(tcpP z6gu!df|mc9!v~mBU`=GHc*+BMovGXZwhTWpS8}m!QOv&2$uTP?cPFh$WLYscjqzN=|C z;S=7qoLRxglK5Bo4?nN=)%sP8Y&n*bx;3Q!**6X;BRBGsNgX+k5St#geNgL5WqGHF z6kG9wHE!4R@4)pc2OPe4DkbkCAkhQH1E&r~#e(F^Fo=Kq=V4pW3ZC1ey+Aam>NFQoWU zQa3oWmrF7gV~yndRC_^DRfv2bLN1fO?^=wHAK>22g}GoSM!0)VG4?mce|I}I)_4&O zb}@4r=SaSr$E{%8DvI7W0rOgp^5q6dXCfrPEI2E-j@FdjXtj!%@Utc zPmI)H&#jDdG~{Wrkw{U}F9au;u%SH-tjs%;T2-t!rAcdO*b$BnXzk$wL5%NC*jvuy<~v zTHq#(;GRcaVx(;dsyp%ltXbmZ^thIb?y&qd_}OtsBeI=y2Lq~`h}v>mJ_G4!@`1XO z4?R}(KbGmB2{;SihAe+AVSgBBoQP^HCKeNkxy=l-K(r$-d)k!)SoRI1C^wL=3N}>s zi!8Wy^xK-Dz@+d;iW5n;=G$AFgGn;B1F+Fd0M0OWPI>xZcct$Q*m#eF=Y>M^GQAnd zR`3@0Gra+JYaWCiIUnVeQq35NB?u^cIkgpmpPCnx!%wiF>F(Vu+@+ESaw{(%09wcT zhEO!%Wn&Bb##}-EZqhO-cy=B|=%hxgejJz#rIg{uZNIT z0r=OQSbmacQ9&6OF&VY!rMQ}PC?1Agp5;c-JVC2DP_OYE=M<-P-Wo z00WW#GoZR1pa@llFz={!wBBs}C}6(>mA)7^j1s+L^)5?5TAR7g-?qo#F>XgMJ+T71 zcSdR5Ek3XhsB*?os5@4JG1i(A zLx2)nIxGZ1%PBhe+FDLGP3fs0v%Q^53w9`#Y7RT&SOJ$q$vVh`2BD!t8K(BjFrSDl z^#(`1>q|3aV)IKX!U^$EI<*(qnBxM=$ z)p@M%GIih48mkGhZIzRWkC6Mj>eaLp15XzRL1k8g5Vy2B(XKAOKqJ)T&iSagJLh+j zhRXC4eYN_ap6;j=Oy;zfH{weA8nnHh8C8kMZ@w$wnlOY6fN)k^GmmGs0KCUl`wCN6w!9y$mkMW#uLg?vnM)dW51kt+@E%|Zd9vkMf+B@TyULlj(FI= z3nUKI$_-|T?1zO#oW2xBzt`sUr)I0XL;ona>78_;>EczL9&><&ThHo6Xq}X(XG7i; z3et|$pHNtD`HYC*@;2CActOhgi?z445xbfz@p1`*ldH_tk-lpJQJnE$Q)oLPa)?2Q z%48e-Ol_CXM7H=0&fK4g)B&H%WCo_);3%o{Uyj-q;8}xGD9!fy35|+IMM`GTb&^Wy z?(gVQV0a$&a42RtiY{X6v>vU^57w_=U?ty2Dfn^npl({jy~OIZ9!}cAQwutAVqV%~ z!(O~>)D|^Y1bcKs=~`Zi@Q;|Lk%F5&?~lU8BP;EwavMYB1eJ%70WPt&eP9XsLB!i8dhffK>(gIL`FQkKI?-&JG$^JH74G0w)k zB&5AExFt|T|Axw!@Nt#`ZpOIk?+XhnPhlj3E8`Da!whMXoGPL6oR|}kBrRuv~@V9SE?LCgUfw|LZpEx2x~q?Db(1pO7x}j5=_~O4+W7rP+`(7ntrtFBabe zdWGfM=fUSKA$GZ36|F0}Qaip0=mwOSVfFqZ4u_wsu zv-*lnSflhF$>oa)ux%z6fQw_1IZh=M<89kZ2Lyg@WZDu2V&0S{RiAI>M@2GHhiX&o z={rIurJIL)Pn*zhjXt{bWT43Y>?)LVQc$J+#aFE2RAfH3~hVuQ51I6kN<$VFD(mg!q)+TMloJM!p(EY>Hb^h1O#cg4xgp1_|C!+?y?0C8WWhe3=h4AUL$XnX0jMIwwuyR z`Xevj-UwNb_fz12I`T|KoVxabZ!ZuVX%HsvCu55JMxlu-ZqVeR>tq@_JkXCwAZU&` z-XZ9PtO1d&7aB|^B*w7BJcY@2J}miHUN0<20ai^xg*K!hg-%L!Q-@95BB;k=71|77 zeAW=AXYBOR5qw?@%;z<1k9X61hapdvr4RI9FQO^POzOBd4N}%u=l;m z%*d+%@GF|zJP&)0ph5stKM@G`-ASzh9s}O@qdJ-)Rj9*`aI*QV?$0i(H#1NhnWPV% z5>bwkG@qYt0weiRG5w@rC^lUlH3YjcEuBUg#g$%TFh}u1jz$&3p$z7c`}rhd-PmsF zd$!&IQq0l^rhNNX*@rXov};te zIO)d)^J`Jw4}g?AC?n($(-NOCEU&A&1%6Kqo@rPJGqpJCKe|kQkpIx{VaP(;NuNhP za^BqXagZ{W0fUV+WS5n{huHo z(i}(ADijlMF}CIKICZR=?IM+hnZf& zpAvLU{wj+`p?dS9d1tiR{Fhkcoj*R@?Fd0e^wCXO3sGXiH`JF0zGRGX^Td)`Huf1` zBwT{o{vV{YZ#zaT;N2o7pZ52U;Nw=&M{g?}ACE09+F6CL&t=kQQ*9~;+iY|4?bR|YlKXLfl- zyM0rFFc{pIn}(7RpGDqfF=OMIr&CC!`;V84Z`=|_)4nmf$?HIN1#bD+`vGVIT!fDl z-p5!M`WoG<)7@oxGd?SirT&55zb@u`nN`2X{lx}u=w$6kniP8=P_tS0KjD~EGrpC& z&jdD@s?(~oSl%s8)ngN{C{=pWZ3eIAtmb6f^B7uQ?E-qD(((~{KSy?ZmUavoMKHUV zyqQT(=yr;F&*L?Zsj2-@gV1lDP6EHM;D1e)5k&j9eYuUz??UcEaaP&=LZOGZ=~IK> z=qFm0^9vtjTMF%YD{;-7C=)~T25~1!K1a`J}d!$F9sQ*Zt;0xHd1)|9dz1oxRxp zuDyUMHzH9~&DI!OhidTpQSQygfbTiyln z(iFlQ!T@TIum4ZN^xr|3DENzEw;Z6{-A{}}d;o+_CAHibM^<}i$`0aBZ`eXS?}-`g zOJJX_`#W90IzTZK$%vyG<*A3s`Zg)6nw2Q$w|>R{MwQP@mn7JBuhLUJ$bjuT9|E^d zeiK6}(u@!ge-piCl-FI#rWbNN zW&N1LqwGhoj-*7{S3tRA0Xc$U0|s?P9tJmD8;dMF>JQ{2Ob{KkY_)8!Z7=dkGlnW? z=Ok4`2v_QgEWAHmUfIvg*4$8h$MLE}Kf>q0Ouk^}DU3ZE?~XpND?yTABTFJ?|g9HS_E`FeBkT8=dcmHhvZv zj3oiqS<(97kdZJtFYL%Mc*Y?762{_bxSF~mRY1IP97Yl->CyVLjkQfLxDf3|jPUvr z7#X|+VZY_h8$}~k1-GHfBnmKLZ^%8)HlYB3(vB2}x#am|)s-HRop%nko6Cs4Z7*_x zDTQZ%7cR-Z0{Q8N(lFTc{Tzh-JanKHuuF24SvYC$*V`Yt@6if-2I6}PsxPcGiyd9p zPI$0c(Dv@t2$jpPIE#4gzkKHJZ(^?hm1kOXwAHj%+Q}~gU;=eh(D0N(SgGOvzsvl8 zrWFl&P+Ka@z_L|v;}>w*dR5k{Uv9&^6in)~Od;&trBI*K!YiIH4D2XQC?477OPpnv zNS%bmp?I3$+AHAafc6x&BKsghiYux*ddv0~AXf(Z-Xis;))iP@#q1E4+`0mVuc#fW zwt5j;j)+Hk(q4j^s7(dj*sVA)`y}EaQBR>C!r<=4%>nWGZTAY0zpgt}z+8Cw1>lh` z=%@}YD*L7xea3;X9}dHTPaQ* zSwy^Jx_3e^!erxIK_%|64!sF$5n{GGm_f5*P65z*TdtqmG0mC+U!tN=KZpRXQ-IeD zCkDQuEvQu@;*q~vKdh&$ohxuElSRPMl)lyvbXm3{6#L*{R%oNGNx67VsU8nNjk6{N zGQLw>q5q=q{q=p?mI6Jygpe6P#H}j;kcitMrEV{h4abq^)$jnbo@?rY{G#u z%YEjrQ()zl6#{Pj;L?j{rp01HW>A>4uE46~>Tm+0UloeAYpUK9XRO`7|K&7bv@d3k z4$c4Ah$H3Y>uY6EV@;u&~!>L z1V)T)xnQkf%*OUJcIs~CGjLJH8EEfb4BaNFto4H9zGLSleazXPvBT7`*R*!)Xmff* zY}1*$gC)|0OEzYY3~8J0xA(Al_`4!=_$b2--8W%ycl675+elz-IJYs3kH9n(XF#Kt zz~n*Rrn~70kF+1UyctW668p0}7l6!jx(V%eKuvxyPoL2O66f@Cm>n{P6O@8WLvUNKynN zLh*IOQ+se3k6D^;LOg}z9H_5XGFL@$JcNfZQIT;)BZAGxkEpRsXU;#jtV+VEDF#Py zkviF|P0mZ6h8kMxLG}mk`TQ=N8ig_;yGbFWLfe_dAZ5Z$+&p{hcnKq%o zB*6hztH2dxWA1>KQ@nD*GvtL1e(NRMTi7|cF<-zF5&Luw*07arXiw$)5q#bW^RnB{ zCt!v*yA5M%YYN;NpL0P?&DD7mf*ty{fxS6^XI5DVHW2U~i+S4_$34 z5~6eg`vJdg+Y5e7P86gz&3&pM;MpVuAD1ie(;WPu;BhVYUt2PTlA>t45D(F%NxdkN zH&qJomMchsx($f#@(VJsvvPqdo_aY~pL<;$a`^mRVY@4wh8;^~2KMY1+fMt|dQr37 z3B#TwQ9)^SY%|r2ZPlBbOT|W(4>xA_7F=8FRaASk_@!)jBL>^ZYtyT!o+dtAX+5f< zDS_<{+wS_JT;1SJRfsnaGLL-Y9YvmDFUz&{hx zkG`V)b^|}K`sPNkBTZe=qIq@04O+stg^dssT!w8AA%AzfQicypbHfcbY`f9$%XiBM z2pp4+qc53M8htZ#MG_21PCw@lZ}U-p$0u%{bT?SpXImq9rT{qt*d$ZS({nT=+{leMwb?<4q<&IIh_%l zxcoxf%yG=~du79Opw!xo0B7o&9@SIRpDjTgVUX5+a=Uny^q+taWD{Aa#T4W4VgD7$!%BqwDpz^dT; z1|A=~z(3+0k$ARFhtyQr#qA7W;%8iO{Z&VKELFq0_mV+0dG)Nk8NqL;L0Kd*+cK$p z=X5bwgETMA zGz7&OsioyEh}{w%{as^5@L6QZxuvsz3ap=u4jkJ!Sjp?;VHS2Pk3#pxh#)4Q+qV`2;5EPN(5Qp5_Q+7x{%avVCndtoCDHlCfkH zoJug1(j5BZVhLoIdogH2+(GLXG)-GtkKrwF9i*gT&y}u18HNgOy zf+jY2koeH=ybLHoa#)KJcqiEzA>`|y;hhA)rRI=*)EC|hEC%~!~#U#ZuPWx>m&v1P2n;#$pzH$?+pa7kM;}0k@#cD@Atuxo>^A);fbE4g9)xM zbaW8$(t=|Wt={N7xead{%r@nUF*uRCCOA!fD-nHN^kB&w9g{eCknT|k`Pe}?2BwG# zYi$Te715%@)#PchHbbcKo$` z9D!Fok~2f>iU0*{a^sM7#OI{pCr_d<3DIEqGGK@+src zC9dJcwiW2G?Wr0^jI{n#r+R81l$09z9xSSPS}YXpj3=JyL-!6g7*!z(eB0c-dyCZB z@hR1(xRMTAnyo}e86a=oA=3><_p}0Xh?+1o4Vt)CD}Kh0)j=wJH56vGpKsdCdJ;BA z>^m7arCPYhW*rAh^skM~Z*mJ3ETf3SHWlRim$g8*D zW$`YZ1hwU%Np-3ZT7AH!f#ZL|ks~RkGE@3J5v7XWF;4I@d8~ijws~gUW=N*3Z9y&i z)#=9J+Z&DxTvk>eHt^;*pipmvTTJak!?4Uygu(@RGayX2oMJLt*?X^-OR%B8ti8ZK z$Z5RDdwyuJQWkER%4Bl2Z6%YNIiAp6=K^+ysZ2H`$@SV#c*Ny>g`>5}?dGP;GTRr+ zl%2~VgFUgWl@aZox`}yh+Fy&f47r|-%?VeyWD9r86t4N*w&Y&ySs1RHcpgNn5enS6 z#*&dw#MWz#L+FZc#WQ{!bJgYZzTdm?;;XBQ9Q-{OT#%}))BRG2j%vqmr7TmRjOnG zP>b$MyaROJ_!x2qdynEbYjoFU+K%?v_(2(Y15Yrt9sQ}@w{WPg!Q%}?lqnWNbL=tk zEP@=++KvP3;$oz-P}a_W;~7D@TC}e6mPp&XrdH&zLghYA)BaAi>z5l(-y0 zUJfBw^NF~ojTVr9xTnLLmFIRIO}Sm-F&FL%zVkS2|71#i!*O2c(U;*&%*XMsjHUZy z?MH`d8UnZ|9tOI7T!%jPmn9Z@xJA0&@9!swS@{9-a|2&_uV5q{4)rpW?8t( zOt%jL16e;coapRd&}(QIE*R1Y*pF4UufgSK>g_bswxh`h8oE=t>^=%aerbWdY_`WE z{Ra7><9M3qpzJQm&jjD;fO@8D#&+&{`9icO2U&0XD@KD%i0$s_`~BOKG#XDB%Gq^m zno0S6Dm|uRX#}gHd4DGcAsaUCwoptm07S+WuOYf?tDVPw>T2DoYavKc(P>ZSJ^W@aAGh^j- zE3k7+Ty>Pd&V0w!#0wThmPV3elL$~~9peo2Q&9ko-TV_=!ZNNaO0u%85`OQ(V5FWP z38l~J4*bZtqGSAwozlCznR8I8mw0<_uFs9zkD9WzHYit-N2AGezSvdlnUudyQSe*X2;OtSZdQae8twwDvxy*(MF9lNIoR%@Gz63uNw_04+=>QeH?T{W;K!wB%)ly-hYQj#PM;>=lS%QZZh6^P7 zR+9Gjn>a%4r`i=2%<8<{zl_uTT5yg>x)60{9{ncbILf4}zHT4t%Urk?DGSQAxL2uP z3bpgupypb9#RM-0Gid!dION+PeC6K4aQJ|{Z(%z>s+v454Tq0j`#Li!*mO!4^{_J! zjo_`W)Zln%YwfLH6_A~`irWZyuW07}4P}frd%jYbiTC;x>tLH6kE3uppNgh~Q~k^e9Ng&gD)i+{yPKjCjexa2 zyy2ObxlIhvkchEoaAU^KFiJPd!~3kU)+#QHf$IQHZBX@tP7(`GPqu4&zsdfQ!9PjNK93_&;TCK8b0}dP~*JC1X-BQyZ-5Aj+2xmrDe~Ri4a6YPnclUj= z7tTYdtp+}$tll2W9Q5^0hD4lTCZ`bVc1V+fhuY33PFBSDsC#2F&hknR>H4=XR6fpl zC+~gemFsOef2u`GREs9F6TFEogvbNp?-j_+@oD&5| zfTrCKoN%I|zYiRiO{1auDgmPE@|X5OJz(4&k#iC=BEe+_rg zN8^9`T#>+rS!mY;o38b8nIC1PovN9b?*NE*YxC_cYbaV|c5&lq4@MtMAn$H3klA$= zRW^@n8iHNWV@_BcEdf0?!-%DNr_I{j@X)KL%mIq5iis4LmWGD}coxdhaj*@31*Omv zV{2QDH}C`3B^IoA3;NBU*0$$s(Qo82*sw!7H5zx|L`q~>X#-o@b&PBDrmiGql20BM zv9>ykSBZurV|j?SwpOj^C1q?_DqkJ=s~V4bK(vLbO^Lq{J0Q-e@05rrJP-d-4vMb7 zKs#vOcS_EifCwj(mCvEKbE9Sj=tZ=s62%b~tcn-6$i!8zK-k9Tpy+G^h{GQ$jAIyh=OtOMyHENz=){}+S@%=w*qcSB(TdQT2?2?B~Pq%OBI7jd&!BgL8oqdt0|ofHJFm zxf>6P8$J4yD4)%-q(1*L{@G9PBG%v;d1F`X~eIV0|5|3*Z7J*>a{ z&o6C;3>hrbyPk!V40Y}~>yp%@@3bk_aW!VrwDSG;niFIe1elWwn53KZu!BE@T?zWu z*)yr7BV;y8$Fn%+n0uuj_=1khGW^&Ky^Thf{n$aVZdQc=D*j^Mnm}#l8 z)=SX!!#2`k2TxZq85TL=+6qgJ9PnOI#prRh&MLvJ_ADosU#tg4E&`o8tMdg+ZjN}b?NwZ5T%l3WI~WK- zr?GDe$4?m0V~5_v<0_kKaW%)Z`WA}nb;{_HXb*$)&=QMS}fvjMZqbDv0<$(r+yQlj6_9|%gahTj?!Z14e^!Cw!KqX@J51a zbewqI{6MhT8o`-e+M%tEA03P&v#7=6R7&A%DGzrgGS*y_!jJX~e~5^@*rW3^OAkAA ztA{R%C~hT>wN9vXg&B}Ttr;`+Q7YVfnGvpDm_}$S(rmM_(m2|?bqxv^bI4NDJqtf; z@5!n6=b08m-)D^%(YbTX@RJh-$T(7sD_v%8$z-XXo~cm2<_PiYJvvi@cp_zqfh+en{|TFR-_Mx(oiPNbZy*{^ zB$4Az!Q%&-BlZUL=p+*G-&vL^Fm4&d6Ysv!DU-Oho9Ie>h<mI_GF4L%T-LEd1SKgy^J})q&A^vc~ER|cb#+rZ|4Ym zhL4M;=}*B?YrTQPM_RSVPu3QWXNTREWoF>tFI+rK#n%!4IW$8(-$U*0OUl~$#i&>% z`p$5wFSJq1P0h-dbWzneo)$d(68tdg{JR^elY76g3etHn33CYlaiLlx?x_9~b2h{H zcd8G5(-M5A&3`~3clr-)ny77+)q+CxA)W5h(4H@*;*(rhi@K#^nXhg+Ox7nd#{Erg zp52?&v7EV65}r6}8p7lecs3#0C%w|Gu;WLEv~D6~2|$QyolbY79=7IMPHUp5k(tVj zmVTX1VrSEM4UYQbg6BYD&lLaFIPW5JVW=g`V|1h%PaKps%kyryDus_WkNK9+L+a;z zXU!(PhGoJp_Dm`BQWiU|!~rI@JToRD{oGrpcx{}}ZEIF>6QU@5%}U1MbZw5| zcn&(t(@AquwDaXG0sI>qRrFM%c5sU}Z|iT0c2p`qGg*30YX%@Sinf%lDj&AJfzUPG z%0W`nor7fVSTdf~E1Z~JUH@Fp^Q9B+ z8V8Sg!ALO6%X4nglr{pOirHrBxHL9ls4K@`z%iYgjV2118pE5$o#dBrtnPfHNh9+H z+maW}NBqe1Ctf}H893s1Uzz7BMtS#V+(rbt1~-0;KYzL>Xx$;mWRk(+!D;QoY1$=m z2YNX(M9Uv`3<5{pr=(+~SRG$nq|O8XW#k=Y7>qBHzI3)%K*qFJzyo(CaHa9JB%7t9 zwK&DlcUm@OlcmI{@95<}*u97J&j5T}HP;Qu<@G_*)J;$5j&;+G4+mVZQ)DQA_G^-U zGQQH8KBBm3OR&`M{<V4mZvVRME6ac7B4z_8-}(`d9$&0 zGkelEt22I}30+S{(3UTDBq^Wl)gIgREbrLxrYDSIXfD1S8N2{PHBizO;#H(!4#$ab zJ;{nM4=zJVu=DH6ZGsqJ?_?i5jDtd-4nV`1kDOpYrZ@Y}TOUag;I|7IQT8qaWghTb zm^w-2J_Gkw00xVWmYKphjw#oZtyxDk+{X`a#Z@+o{w)6Gg@soO@_r>Ly=nmV*Fp9F?KI>qA=t9Rs{^k3^wZ|fu1!*Ri$M9wgQW1O0AAIjT7)M0?MA28JGFIl1>S5pIuM3#w^%e!g-@G>QVQ zY`C@-jT2WNH685J!Mc9jdC^afKF~M~?EDTWS(=6Abw_^V} zgjW;=T>j7@9!+`YoL;;be(dA95|I4l!O3j>Y*fFQbKv$Rcx6)idrFT@bVD+?ldd1= z?^#_zS%^K$Z=g!I_97eH~4UPF|z%FVYfeiTGWHL&EG>EJdCJ{>tVT&ewV+ zV7VZV&Z-X#GVVHk@VW%g0XnOAN{JwP&c>v=p}e!+A5OaKz3qVsP9HMh2|D|AY`dRi z@y$W)tS|3u0gw8@u#DA!CmH@&&eN0qAPmPkI%s@NJtyz%`A6Kj$7}{yGmhlUPiytV zcgo}FFbpF(gOWVM2OTj9i}lihG8U(p8C0ZKr{pe_cK{Us;<>1$zErEc^T#OyOd0VX z7MtQixCLFlUbJfuT-dYCPE$f7%~x=t=C{_=ntggV3k5jnFs+Zg(go8Z6yo2r7I?-p z&)1(ieTe(H<@~$;wrWqe7pI)^a&Nd(Io6kcs18f!{6q+GOuw~nra)LkD^%f(SY>=Ap^}3Yo>xcBIa##8~h$HJd zVGrp`i~FmXcKPbvIc|`YVX^c(P@-h%c-nnVOqFJja92jso-DRiRTHP#?dzQ9WXBZX z0HRTYiY3Ez<>S5{KTcf~^L>I%S)RHex^UrWVy|>-g>U0c`NJFw-%q~xKk@neZ?ya& zwg#OFKa8gNn?a-V9ra3Vz>Q<+M8vQ}ha-{FIVyqM!m%ykT47&0c`9(&yNo&oMO z#{YuFf(}1*Yo&0>RUXES4qJobwY$gMZoF7?&2##&^xg-|+KSmdr7ZR)yRgVBC(!Zz z;>*+3m()o^(L5jdr z_9jW7AIv6oPWgXO)+1}Wak->?Jb6K1uT=9f7q!7x!SWb+$x5-tlm-sr9}(ZBRE{^M zi1EeC3tnhg*w068pe*dHo0EdM+!NKnQ9Dy|E#;E7*RI3AHCa4!`Y9~k-SJ_H|NE7j zO!FUa=Spe3w%Oa^lW6LY>~&rCR8V}V!8@fQ5v5Q@u@Bnn)qc}weimGkX{cDsw2)p< z$te~YbvYX^tR#7^+e+&j_0jnlrMGOoU$urvP1Syp5Lhdk8qni4VK41xS~Yd&hiHO{ zu9f>lm+Sb#H7H}G8t;m`3C*9_P_@gjf~cXLCE?d7EDK-9 zoMkdHnjT8^^=(k4UN3k4)mq#hae0dpa#@^bUgI^xBSF`=aku3w;(aGRueE(QtLTYq z{*0Rn1p-Z(h(Sf)t`-=|fr0*?19MK{R_V9{%cV?BRzT&N)jLZ3R&LO;;aV%#V-?2q z!7ZSWuQk_si^GE&2w;J~Ea(NZ4~fZ^Qca z!F(`EXCm0Aa+Gg+b$j#k_U~JLQ4ZOdL)0_hvG|L{FURs>ktX6T9(D14qbpggkJjH-5q+2PO8DA56<&fXB&xyXPF3%p= zNfva7Tzzyu_w$@nmsR%M=W8Gb?7zww=nU*D5Qp&4@C!q7<3h67 zk^Pl}N)-7mz`OpV%E?etb9``JZrS(0Q5rmNDnQJ7x4#Gpkvw~$W^RkP@zILNRE9dFGaT8$Pk6Z7RnB;@^0@?;jB~8lb`LT8gVa)L`768=i>hyTh3V*cA$5SG}%y^+T zNl<+ULuy9!H7ZEN5IWVsYEJsh3J|b{FZcW8)N{rcT5NsRf$kCS9dOJxNnxsKV2}-x zNjmK`W$9GhymTloVo6#_I`#a6kKh@Rc1x%)^h{Tt=`UVx7VQc_u84Ww=f1AnEb;v^2d z5kidmPA~Y1ZGJvj+O>o=IaK}}e}vvy@wLA`9~z@Z!Yx1S706{b`k6JOy9^_=R>jU; zMwcS;ft3Hz+mDL0Jl*=`WW~v3wx2w?qji!Q9ZRUfE66Z(lhuHN#)C+{grqaf99`XV z)q4M0OOa{6Ga28AJrhDa=c}6tinsgpW_?GI<<@udIhcb=!A%ofF6H-F+fWg$P?6B@ za%|(%%6y(@oabAShVtb3E;W`%EYxnfnPiBbfhTf^sGLWk*}KBm$>g_Bfh_D!!n&EX zGrzK|@U`0(N*oVJJL%`Vh+M|`9@i^r03Hq2n|Iww{=`(I^3b^o!v3{Shbj|q z1)v{1LN7&LL>JPfWDTfC;2tapOTb)OuBi}Zicn$K5uHWhov$$z=sMNmh^E1 zmTY!p=oq%smnYSIi~`w4i$0yCK+;`Dwv{rmav&UaHV~cNQ4wnUN}{BGIdk8PSS1VT zkq@evSi{8366qWAA7te|Aq&WU@Y^rv!A%oq`z#%3#Ph&1b5?&!q%DdmwSx{CacBi& zv>RkTXw04)fH8(hVYYsNS8@gg0(O9g5&0jN)^l~ zpTHGjcV56+!SwyJP2<-Qs@$r%cDqV;-xatT50tn>y>sHgDBT{Y?QA-X(c)D$hI26Z zu$^;Xg?=e!KbP~Yh(8sf4Yl2a0luK0F4Xok9cEt+jz*q!w!kC5`Yv%;Y>4Ysw#Q!u z`V1@uvTx>{YD?)*Uiga2r_?Ma)-w(y$25hu6eYBnDIhye9wX7UtMq9zmmWzu6|-L` zf9A~1+6epv8Jh<4q!e?#4wOEb5hG=0sE~G-S)J}cixmU1F{09#6{u0FH#8mAa@YY0 z$ob};rO`eVvs4#`{3+@AV)n?JvGaCHe2f$3Hd`EK*8nQTk!Hml=Y;S!IcDe*-mkI3 z|4{mM=vsC)>T>!yQ;(td0>hP7b_6hu0 z;ja&?&~}NUP7bCBtu2n;r&T4{ykYfP=+vdWen~SM(WJl|Nwls6LF6%fvL(OAFk?a) zzN@t?Q+nw5%jhDZBG7@{#T<+xH&Fj7Y`JGt@#b3o)-{|VZ`UtvOEQyY-9yXLlW_WL z#k>qdyRHWsfftzhB%z?FnI-!S@uX{ z%2}$rpvb=C7OpQmxGRgQ(i5~LYgWV5564Wc<)tHPuayag=QF5UkTr2Fk|P<)^~k=g zvf|>gx-30H%<8$LSF5ge#u$eWt!`U#lbCV7_ai1SshW6qf+ zUZTpMkZ};Xe!fTb{!_iZa(9OBvwC{ol(0^?#eDX0L;d|>R67jD|K+RF-%k!^!B(PX zjVC?O?aQfh`el!$&FcFAFZHW)N{S=(^G9BsaYtnK&ygx8!fO`Hk?K{p z>GH_jIX_`YI+w4JGgYL@^^^0`0`T*+4R@Szd*tGqxr~e$`{$2_Db1EbgOt*YoHNF0 z1m!E}kru8zK|$Ycpo8F#>obg4n}?&u{>crn2_h*A2TYvT^z#$eC)S!zQ997=*1G1s zvXqSRn8eH^=fg+*sVCiaVOdA=@{nPRSSP9|$MY{ko|Zk(4X^%YtMOIfhpBB0yx2PaqoJ~ikmn>IZjWgOh%dw>a(!mKE! zL@Wz{SZaxh=R=$Gq%Qm9XmogiDlBi=N4a;Pbf`Pb=zra=R9W4qocrj{-}*#)`;-hE zA;D*rL8*hvz$#VX^ z`|OOO3hdnuQu4)+&k3_Ho_x9UoMy&A^6q2atYc?>j;rSx?#)Nn(b%596OIT&Nm$3v zPd|{Z0{X(e48d7Kh}nd4dXXX>rNeLzb3B3exZaVaYkQ~MJ+c4Cf%3d*`KEDZ)UV)z zgZFlU)L0g{`j*8)eV13ec=em#r92`KRXdXl0q(;Tm{OAp)k>_)x1MSVRX;=7IHb81 zFG57*#V;#mvE#*5t8!wOO~j|urn^zYbgKe)(jO%-68<1ICFx6o zEyjbQ+1`A%IOe|>JCp?VjjMCZm{$dcuyOr&hD;Ums@{4tR`I732UEjCQp6?{D{Q3i zTy-g|tn(;{Gug|mO!BlL=?Qo$pWOJ}U;oW`QhO8;&X(Ur=H5+XXqgZb{bMuq>@Rar z2;cZlJ2re~9REcafAj0HYe$&cgMo<`b1BA!M|hG9Z5DIZudA)EmiIA^K#8T-U{!glRIHORxV93ovEja9%)4EDlV4+| zhIVLpXY^N8MS{EA7cC%{QFI~2YWjDmq)Zl{28Yfmw5@y+m#MJDiU~ikedq^@Rt=fX z@4zm8nKgcY7Hy%m;%rbe5vruF!+(qz%s6JFxfyb`f;6y0de$E4gX6_%rdwm)1h}R74qjtCdpL-y8GBuKw|Dh;`L(s$iP@_*f_fW?yrPQc}dF z8uN|@VaV^v`EzB*5tmj6T0@|o`a)HD$I?T)Y!dU)qQ^OLoWHzbprP-oTW#S8IUum} zxvCcv&C9v8OCbD`hMH-dyc1z1;wUf^+hY9scGYn<63gD#E`-0eU3Zy_< zuf5lbN_(B6ij6!}jO17@bp<3^^?g>G1fhl+3`BWHwNyzW5bRTHp$*pvwb(;?ZA17N z75o+(J^W_O;|L(v=S}8hDf1g31^sIL9&V(Mxa>bOMrV|B-q< zJkRvDvFIaF?HqDdcZAW(wIDoN;aS_I~f$>ZnIQ zv+m!+-D;!BZIqsQ+k}0)N+zkYe^$UK%!v)!y5f2zF*V2aw@0Sa!U^*$>FK2PB&@Y8 zbIeGrO-b;iVj4>pto)#ES+6yZ`ALD3vwN`uS)b&iD6b5jhlu4yYA!v_FswT+Jq5mRH+6Y|P3yyw|}P z^5bMH57^v`;}yth=gt?Av`tns4zwRQ7C7cv}g8f29MMkG!T_Ta{Q8xKxUR?hw$X_f=MP_Ig?zm=OWr&+0pIi{Ou zQKT0)P9>N$u^zNBldZY42?D>G0ry1Vp9ab|V*@)V<-O+Z6Ngm)Z<;Q9n`qcZ#`r(> z?VX087UP45uOdYR$E&6ZpPUGa30@n5lwp@^8Y?t=HJ??9H0B%iy6($J=_QPXP9~e6%Vnh2Z^K0%`4L8!1^u1U!4Q{c5 zrLRrIs50^mR|*aP16SwkAeM`XEUh+n_*)t#RAnmLi!%|dGBJbf3AA9dz`HesUOaN~ z%M2#F`5@1{=DVu<-~f;4ipwPOT(!$iI%i(vJs*;oU6&1m|2?toM?Nz2CPFU$#1Pxy z-b5iIOss7U9eun+i7NC`_ov$SGI-Eo4L~%Qqut9u zk|sXJPcp7`PzLywt3heg%WQw_vjeffdH=(YOC$MF&t4a7*%Xt7+cj8~TpRfI?#HwD zf{98Ry#x2X8pk~@=pu8@ht3}r)9NYsW;=AX@19p=B^OqA=BTxcX#NqCF9iT?|ctvzmppb21< z{4W4F38NS%nfn7_KH zPhz4)g*OMIm4$`=V4_`w`!Uh4T1mIaVQTFrgIg@gZ&w9GJSRuwPv>ZmqMz98y`+%4 zRma@*@zEMO*^NW^mFoZsHeXY=M`La|UwWkIc3XfB?yezeb0hpw2+;6pFGmu0D3rTZ zp~aBa8@60r{F*=fC_?4SPPasA&Ax#l4f&&-X>Z7A_~RR@@Bh>%2vG_~bKdypts^oW z7*(iA z`gIk@#d)NTT&}6a_WtJm?!S{CjugM(e^qN|PQ&eUP*D`!W6g+O4w}k-)e{ z25EjXOL}A$Y2hXGL$p&2?3F^yy zsp=d_r{GNXY5+&b))1eM$1KT61-nvn@TZ>N@2k@4#R)e$qafk06P@cJ4yk^4LH~;H zAT6J&_{D2qg3p_SbV~bw==&X0V7YhUkZ8)CAj!&FpCF@G;9w=*=q!`eP3OQmks^bk zLW_4sCW663hK}-3hau^_9Ik^H9`t80Sy-Ce|BuW=v(&Gy1N_6gj{=*t=#lEyyuVq%E`=(++6W@NPS=z{KyLn^tB(vsOzrHz=yg=7Q8As zE!}-F@ar?6LFIhhlxEE0@!e}Jh4 z`l$(3yNeIC`u3T-6!RM9_HmCs3bhrqHD0&o60N8n(p+u+mV@_o!0`=_AkrNKU@UMk zsaSKX??ZH2j$c1X?mqE)D4kN|fazc2F7{n1JyVe%a26(g9QhravQk`6yz4zHM_mU|YDOEEdJ4MSXX}TDY(?yw&;s-syeYl{FDIiI^~;G- z*B&>tDu?~P_O5TeJ&5?K?u^LdNl)Y`=XhiPo=iH5-aTo}=VE@&LR#XKjTinh1pkug zJPu6K1fU$qbQpL{Hw2(TdGzS-6+>`W-<*`NQYc3b3@|f-0N^WTc02w+r9EWu1qu%a zx}%o8dr#+v&^_OrkmS{Rrg4zT1oQXyG|EQqAX`Y{Q zA%*GIHkwqE+m4HYdbuVzQsY!|MGc+7Bo$q?NuWKJrf>sZahvKu7jfd4J%K{ey8&fq z)gZx*GNu}|oI@B>kwem$;N#)Uex+Pa-yGSk)~i*6pzx@RsV3zNe91Uj-ncm#w-2Of zXeBNhznrswPV#ApS`f1CP7Z{e?_|IT3g{f!)2(({3^4u~`dACL+k1rW;tVS8o)eR z5xiGw3c~@9G3lXT(6Sv+vI7a|3EDk)m>!vac>_rLJ|k*DW7azlV_O2P4b!Ox^CO-! zPQJpP%*0e zuDh#reT7Rwl3n6fgzv%JF|rlW_B^p^o%5#!!y6;IylYsQo(LtI)KcH8~UTe)o*I zCB2ZeBuw93fhO< zXQ$&YUOW=D&JEi1%6u%MCz{$_pf%rwSW-CIEtHqNp3vI+g&yq=<#-5ru0pgGv97}9 zl^rK~N+okFg;~HTS4lebW6}I{=$k|D9F?^kE)8fxXS&j1IeC@Q>Z(ff>3^a+U)|Vv zeU+N|*Zc1GH;@(x)d;so^m83tH{pMC@L!ba-NvF+%MG1owWB*v$dQ!4qf`_3SeIo1KKd4HrRnc_lc0l84Uxk~vo}e3YUJDIQXJmW7`g z-Ml42r2X?Ut7((wyics^`G83C3L`XQ8RngKA9?VQbwCeGp@PZ6Z!66ggT(H~qj||+ z=G3mL5vl_Uf53CulROHy8YjT>n zFdCYcW8{42V6eLx23m@%Z(k%hi`^+5a=r7mwv*N6ZmPD&s^d#w2EdbwZW&a0ZshDz zR%4tqacFFf0Hl8iW@bCF?rtj5y%g#xJxRjmDqMMOq1t))I=bLl84L`-(#e^aGQJ>9 zQ}E51_|Ax4s_i6s8=>q~enUI{W@;spO#*CY^k^?LjEWhk;O)p=JKq(^4puAjr`C$j zv@T`BCONg!>T-^ZJMRpJ48oanjylU=#}OXnVviotYeW~c7&#wX6|l71&>KUa(pxQGpT zx;{r-i*6u_dZEzGl+h`ybrcMyLk+)@yd4|QsFYwx75`)|n8@8t5#I4xJO12J zD_cO+d+*AXdMGV7hbDz>wBD><^R<|7s!hDn<=J{^t-S2vlYz3K(IQcJy{Ff{td+f( znF!+k>U-dSupLyscTRNkjX2^SHu77VaCPp5MOTJPdix?*EWMbga#(4k$0RdLw>0IykZtqXXyU zG_8e1u_gsi%z7M*aixi?{$()qri*dG&dR1JKzLJhCo!n7eWe}LT2RWEZpvgCJ$lLn zRL72T9cpQqsmKB?Pen+zMbdrr&MD3xrY8t;%ANqx)RWKrNvrH;n34m&D$U*j7eCHa zvBK!wxNnn~_+nYJ=_KdlXZ&UmheYQd`)cPs8E9a_zXiFVCIUDz-)vE^T$8h>&mH#e zr0+&L46Y;1TA$t*x#T~3B2s3WQU>d}J1pX8)GIJN?mpJ?0675XTpye9PWK^yl~%5DZ7$z%jv^21JO^u&eA2|KTruBvP+8Ai>-crk ze1DFnsUXHjB?k%48BR}fSa(@>jY+kwC{suT5gpIzLa}S*BGsf<-`D=F8KThg z%|LtgjbnLX9;CXFrjOw<7;%Q2gSd4$k zVuNP?ES;b-_qVJzdsS&{ZjOir!@w5rFxt;Zy zK84m@%e?QG%*fLY(ney4(R{GTl~^f&eX_P(301g7OGX6#$ddlrYx?vWQN!g%PPVx$ z;bLU>tSqx4TusFFT5(ka?q>VFdG&&$%(?dul0p;k<9pEsl(kCgWvqK^*H*1m4KgnE zc-q4mV{ej}W!?`FIuPzGb`l%Ba(@F*4c>KCQ*P3eRb1(K1+BN*-cqUnH&8{3CHs2J6zOIBu0XA=wE){;I;m+rFr%~ z*sqg>xReL}cS5trG#PWtPD5ujtnT7Ag06%HgYT!nc*L~D(V4!e5WYhrU!rPHN5RcS zIx`+iC;30`?Ek#Mtv}oHrk_H>+Tv{`UX_ca_8k3c?I`N`lvwu}na2^ZlD&}LYjfp% zSsP4V*tM7Fmwd;49lj%y_n7{+TewC1j1gP-Wl3Sa5t@iZVgn+4v=#Aza`_ zIVDIi5|{+c-SNYR&4++|Y4r!7BjCXEfGN5Ez4t970P}u`1l?o<+KFXnD{Au3Pg$)k zfHbj295qJ;zQ_ZNQXB<_%<-KS_%<-B=xLgmo7udH({HAGy?p7jXM|Fxv!Z`67+@vN zr)$v{hp`t$1Rp4*_4FJ&4z9KlO3DE{W#zmHW>3mCEd%eN2?Obvd$l0#p9CI&lZT@$ zy0UwQZV5)l&Zn&MQ-C^Dlb>i-f-e;X{*bS;{_AS{1j2g9bpWhh#I!x@NYecHXF zo8dEND*LO{=riazIKRL#f(b=s?7)2lX&tJ7gAb?87!s6MtSzds!gologg#Jaj$5Xr z9D4h&F=X8wTOtdF*VKT5u+c+I7?w-{j0WfI^=U zLWxI#WOq>X*W(`Glgj4Q#RUAFJlzmCjMdqQTK+jeTt27Y_-TRaE$9XX)Z_Vohc=*b zP|}18!aY7Smv<-Kh}NBX*-lmSA0}7@1eIcwyn9I?56=MyuHN%&Ihme}4LP09KxTz? z5_n4X>Vwu&ERpV@L?0O^aHs&BXTwlwTjTL__7Az(rX%m!Xhm>BXGq=G6&u%p$cJN3 zb3JM$K~jx zT2bD#DCn+%t^M?5?DvQ@5Q&pe+AL*ji%sMaPOgUdDT$ucnQ-f!e=b%#sd*H?`*2tRoxIx&t*bUK1maD z*xorY6PH;?Gq+Rr!H2u@_^SXnDK|Hx87v!AAp-p6-=EF@<86IAbqyi>F-9r0C=&?V z_UvLL3xa`XtXNTd7?@C&tSA#B@t65yuHK!Y-vSK3j=>O{ClI1yx?p`Wu2qZMv;{5% zOs~M<=Y#XENbpnbB831$Y!{sY{H5Y>$%G$>b2U}x5On@N_Dlg%44cyxyHK!j3khBX z;=whYPt@2Gn!CV4`y(M5ECEmi1Iu#2?e0t&;|ZEZGIr(E?DK!V&12o2i_Ny}8KC^_tTzrQ1H?{!Ek-t6$xDcHw_;x}u zY?uU=QISW%Lu$FhS?`T>znKXM(Q{sg!=L6-*?O}E)2kHoNU%^vMi&7}=^Zo;~a`=F)k{Fz1mAHZLV zg@y}t-9J0W5FVe^1_Da#;dtsXhhQp@B3N)CMa!P>&hK?Fnt#E&1b+M9+`5P0zJP5c zX~rFRt06__V*zJ7Pl`a_hJj;&DM?UE!r)kYO$9eL!jF!QmNRURx>hAv?W5BTW z8{Aj3u24#W>05uVO`2MAfVl*Iv2YJkhi`+BSJ!+TEt91bmEa&?oUK(2xb?mZveMb6 zvT5vVn<<`*2Uk$qmIT2>foCe7Z`EONfow1M5an0Ye|b%Bi5~u?5~*D62nRlB*RdYc zgho`)SWAz?3E_76l-M0LF38=w7rstd;Uo?uo`0^r^L1;~`{&q=dJ~y=c;GTVYS9QXDTV^9{ky09!s{U@6|oAnVKq` zWtq5K!A$u&>5yVFeZsiFL!-@$!}kYiz~Kn+oOrcS^im5GmFY0ZM~x{OzPMIp~EWA>PI(v9`(u;B?T$>435=*30%48j6JI2 zv?|B0we$>SmJZ@D(N}%klM=a=*^JjG{^=b|IR#vnj&4Z48b7SXc+iB&V|ifhPg7}< zkmMpgFfh*sR)6yXj3nsZy0GM8s$2!-nP{xb;)%I=H7os*{~89p6JhF< zy|V(F5B*Yz+Co6I+{Q{zr6|Zr{?JKU`0Hpo;lWni5DWxD;`zY4&2|qwlFfW>gm4ak z*iCI`kVJb1)_q#}#GjO}m+aY-KwqVPK6uUQMelWm>AJPebPa{WFRQTzh2 zJp?2#Df=zJF%T&_t!TQ|2n1l`Odtvvs>&^attudUYLpN&V{BK`x4^oQOE?0wiBh0- z>1Kpw3{#PJ2-oZo7`#I|4CEu~>4Zl#Pl_TR#Cmgs@29XVt$`vXP*op?O3tQXPaR7d zN66N!c=f2Bhdduo2QO!~WRd-CUr}`3>?#dMcVvj!PhqQ@5R3x>W2n80clE zmswWe3NN`1jU8+H-kF zWD>X%jYtf$ZRukT8<^&>GdN%r;jX6^dBgbW?VvhGn3_u@Xj8cJ3BHD2wvE_Jn`B&uptvGIbx1?_0_CsxT^TA_4_V> z+~)G%$rbJDY5II#(XI0X@g3p6vIAPYU8rw#+?Qg5S$$tyT@S94^TNi4d!w z9F5F1_va)*4uH+#dK$n1f=tDbXm=uj|J?6my`nuT*)m?7)?M-U&QCCYewxYx1o<{W zU=Z?)Q*!%0KB-A~sB1C0J2kiMChpx7%@@`hGs(&ZI{ur4u&^8|PI~_0(@nPQ-|(LW zZxDa~D*#+Lj|U^4BW_urOpZ4v%3#dU^j;!x@82wzY3c{|?Ke0i5HgtW&m`Ks5KNr7 z_?j^@{!w{OpsP1<0}K+~H0Oy{`=`xE+Z-x!ZFRKix8d} zN9qqlI+m{IE<1f7t_!*`Owqu9u~bZ2O*D6b;wNc|F(WU-W#_IBvr9$~y&fkhitMgK zmO;9mbO3+tml?1|BX;fnK@u30e&(F3?dF;*w;@3(l@>6&Xitec1w$cNig$SA@b7;1 zBF*>2x~N4V;@J7cg`_jSEbjZ5@>zL^$lPvf_7fy>g! z(pO>MPC){g>kBML3Y>oboV=NR3XBv)-GrX+s6l0VYeF%%y{qSxnB&rJ`3*VV8p#IL zih@nQ(h`mk=v;tBl+6Z#!gXDY1;=~K*Nt2F`qH)VPacYKS60tj&i5+D33VNVoY>lj zqKQj$S(G#kNeRYgd_lv3sGW42mb3#FRCmsG8@5NaM6)-kf2~x5O)GZ9AMkcHe8#Ln z7QSTvn%VK*BXt49Cdlq|?O=Bl?uUXmL0I5DXB~C6)Wo+19ehVkQeKqPY6J2FX5|q9la;Y)DJVZRZ9To*@W57&u(02~0i% zOEDmwnIwouCP54M$q5*1kNw^t&Rj?+fJBaY{~Y`V;e2T74r;=S1sT2gP3+F&xzHqQ zK#6{rbU<$<#{qVk^RFOp9vHD^KEeW?S6(xL{gCf_lO!FjbjxZp?e8L}9Ol*2k!q-^ z??&$9^}$bVo4b1V*!R-OT1B_E1qKpgLFZWz?^(v6&o@ z1a??>0QOda6AI8?wY_m@w?4XQ=jUIcN#7# zV|3=%U{Y414$&r2)Wug%q&W$R;R@vpf3$Zyxxe7z!`#50Cbe!0a1ZFU&y9XSh+`|e zsyvgM5H>ZV#*e*B8ON#)ZsxZ;euK#{ytko1&O~J*~X;?1ulRnb{YfCBO77ny z#|_N3OWPChh^A#(TyZ+caJV!VZng3*pN$61BgJ)=<#tjA^027B2#j!Nn0}+a=jf-d z>%o8BtscU}UT+fHn*~K*=`ctC9-*nJk(DDMKGQ#A_8U#&5!~7u=fvC(K+9QJ7CBi55A`lf1R;vmZ?P1mgeevEu{#(oEuq z4owG5IPGP*V@zxT7C;Bus+3zzXvkrHB@*)fMh0ZL=-WS5yZSGe+`n}&76&i!INVnb zwhRd&P!Fq((-3u6?D(zvZ^b0d*GLX5XKj7TJk9e3lH9z2?dv4uA?4x&|Ez4OpY?Uo zqwO5F!o8DQ(94tGtmn~$cI{+B+?{3YvVZC3@wLfytAhsbW_r&I2RpN-Udb%MoY}?B zB3woP+4dKi^Z3FH;E!c21$p+Sjd%mNu4pU=5Qn7%u;AeJ$h8`}bCJo@9u~$I%!Q-r zaSY5lXJVu*cI_k)Pd4sHX~^z1$>`vHs)5G2+{4GOb$!=7kO9JTkeo?6G4`6YnDc7U z@%Ai{2%R!-Dsh40>u;lXM66cXx3)p%QLDH?m9ji%OGwD1x=Mdbe|RvsK-=kr-$pSp z&QRG4&$r>8t59d;1v+d17-jV{LZt;i&3SRywR+PO5A~nS}Oe0fjKBQfWOBw1>aWI&qJ8 zZ2OtrgXKj@6Iu2Tf2Mb~rT4Dv35_>V{@~6YzWGZ6ntIT)*Sm*sow^F$TVX6WDv$zA zzfXJZ7ounbUB1G>avrem1Y|ekX$s`}jN~#c7pmjjH}^=b(FDo6{%Vec)vWypwm;=7V0W$mCwz z=@jKat5fvEl#ah^BaeGd!k-(S@-N1$PmyCTA$Y9;@aS&hNYIn_>Oz8jEA(&{2hY>- zERnm!xr>WOjjO|J6zJo}buY^r7C=MXe15Tiei2P+4(h>PuMsajI|E65?nML)H3iQz zr@p>%{J3E6Y9*@`VKCLePa2j3*KILYn9D}$2}yjH^EpRTYm01$b^h<5MdVMb)^u-6$hn?B`B?p5(*s}avb2aKDFVJHzT@15mq9p31;11+( z{^13tQ|!hm>&V}J6WR*1ebX`Q@8B?ip!5neGPfEf8gBhbs?3xBMoE!(ZzIw{$eozO zMW%}Emr?`iMmCg;nLoZ3614hQBWt;-Q@PL_*Muiwc_P&FNk@wB*OMdKj7fKnj&DQ* z*H^DIOZktArV^l9(a|&D?hH75>3+_y&eWuLw5k>QP7FB3<+`pP%2$LmymlW5)46bn zuYu9H=#8vaMQ^>yRMJI8Ba3_jaRt0W|?IaW$aLIwkD2r;Of1!onOey^6 z>nn3dtC-mLDnCK!oEK$#)kXeKG$Wr7Hu<+aRsAckS!Hl(U!f<32aI7#C>oBeMr%!qzb($gxckG)ySD1E{`%Ux3#)%px_nW*1HOMnq|550((YP2rssKLim7ijIfI;f)4G5$xc8 znGh%q>OM=df7xAMi}D`M0DI}Du)sxhH z+UEfv<>t0Eg>laxz;0{54iI65M|=%wISBY&fT z+3BW_Q1><#6fU1pP4RIwe3Id_$J9eATa;{W@S4vpJ+-SJw#-5(=y0T_9+j=HBTWqx z#*|Y!QF1!?$~aaUv5cp=q4iHEG78adwA?5^S{n)5m$OX;NW3scE$p?PLnoIHaH{e9 zdRspN2dT?HpnoCQ6!X&C`DOKOGwN)i_BXke1VOoBErPwpf5t($z;MTINVZRKm+bvQ z2A14k)PSu@!(+}p;+t(my@6~^ny)QjuSP~oQt9#_kxoQUVC4i*9?+0NC%RM;^@HHZ*WOTb#-y8@{4GaAqBoaH>&~7 zEWd@2{)iVfg`U1k`t)Rrg3ks8fF8BLx3&zBBvBR`4ys)zhn*K=OYplh5@ds;Tb@#> z#2lzVJtk8erDHF%;PFn6zJ?F1Bu1jdQmdF$NN;5BzcTs0+ZeqfFQG_cozN7*MM1(_sV~$vIrO*9zPKXhejWAu>?}#|sei^;ei^3Wk4bb@y`-I$068dZ zxGwyF=?Zh_BgufBH0r51&EfMGHgUzvR8_zB(uPmqJSa@-s+itpykp^6@(A#^+xl@bxqi+1iw6@6RSpRcs z!xH|4zC_jwI>^0tZPFsB^gZA7typ?Zc6ZKGGj@N^=kBYwcIC<20Ff>eF5Y2Nosm?J z>^ZE>WF4tuS*TKx=-`%IKc9-`FK2vtsAjKe|Lu0mWyl4&7>@F+O4w@^9g&)kfft1DPdoUu2zMmTL(^R zai8mI$qyDQ(Ytu7qxUQ}X=nD{tI|4R zKGc+7tGVj1-01n=ljDs*$ksHwK5xR2&C)#esr1gaqg?~jq2rpftJLW<&TqHh!Ky#m z`9VovZ;-i9SE)cwG|A}sNB;%b2XImB5GQ3IcZG2N%n>ij_0@O6PU1YVJ64ljr%_3- zn(+lf3%sbC14TJg%kgD>r_$}>#xd1GJxj#{x4M#DUExlPexi)=e^&iN_XmyZn{uWb zlrxxiCC}=e7^tS{5LuZq1n_99Dy|bP!p$0P~qO zFk{5KDcR7#lrV<;e(#ZzL=pImye_uhrgAUG;9;4|O%Lx^44Uw@SBNZA`M+8A zQvP#uT#f5r#w6#($s{S#OTs>;Ha69n!ospa$@Iuuc9^&gmsxHO$bO>%*|IBPiuqghhU z#rB)p_r|R=gJVe$uQS$k5={yI6$HF{oj_x6(vx5{iRM@!*)uAT9L0j!2(U1z00P?Q zJTn85>!AbGzsg?y5Oyjs>?_f5U?6zEYE@kBvE14X#9s?Y{2(-f+(A5HV)K@DTu4UX z_Ak|IP3@uyHNd935F2^ZQ~j9mTNYx&6gGDV4i|r~u4zTEQW4rYh69AAnHAa2Dvr?P z0a-l3Vj}(cCxNeh9A5vht~j`8bHP059N>!HXJ4xNHLHU|GmCHpUTSa!=ymM<=lU=W z@stgc-y2~f_>YkI2*ZJu!_$z}$JVLm&3~i#hoo<_DTTSj`4+@YB*Fg7}MX8;`kjkVL>bi(3YJNq#xmrZ^-Pw*XX0H-vy36mJqZvB$Dx%(WFWxwd`|c9f(Y1P0k$XK4(wtXuJk?ab`#Dd?;bIl-VKtMSd2Fql8*oLmtZm^ zlMuXmvLv>9Fj8zl4;eVtW&!bzFmGq~1oVjAkKJO*u0Zz7`uNKEI3a;^6vLumI#y5K zMePsJc1tTC@M#-9>zuzTI#>q8MtCGoRE(GvFcWeDWI{=7`;9kxo`OuQFk%DaJ&Ez3%7yzwI!td`=t`BF=j0lZfspp*uOHn_yk>?ADhAnFHE7oLQi2l4M_WCvt+^4?0>Zd9=?SZ{<6IQhQ` z6qNeFlO~zP$q-lqqd)(t za?~9+y7vPRazXe~%k0Cbq~Y7Ws8e9#qg8A;VyPlvMc9xb`0d~>wORkK%JC;ex~tC@ z)Rz`rZ`dVpKZky$TZsDjSJ#zu)9_rAsJs6$j>eqf*ZtiGJcwTYau6Wr%B_OPV?sT zFM~;P_PASo@ubQ>lUsw5xf)zv8NHD)XAT!Ob4b3sFyq;+@zX|IqGt=`TAetrlXC{t z@V8kq7m*^X%od*96Nr6C$kF4T9s6ODM$cZ(_;#uUMCkqZ`auA8&qG=Cw0kGelWE;u z!2dRRs%q0`TiNv~;)cJ_R?ABQy`vb~IU-gA^FB1cXuNej<_@JG+r!sQ?v`BwjB-~mSIRf%;uWJUDIy}EL)h<#+d zhT6+BQCh)LR@r*jQq~V@$A3V)*LO+}*<&j+?RMf{@VJZ3qDp3dw{sh}3mWrj&HVX2 z52M5N)yNlXsL_RSiqNj*ZbjUv&qb6Y(}ThGZkhxbZTJ_Wjjpz zeTO=JV@&YUCIRKhVrCvhG_#vJ?WDt&-YAr`Ty? zoizv76G`^T<}HWECAUu&?9Dz`eWSOOM-9{*Cy#%w_jhe~-WTv_>!of#ec@S42ru6V z*M3uDmu`n;Lyeyveb^B_IOIsD&e2;RPESQCW8)6T{uI^u@3&1Q7_=CB%(-^;w8R$V zj+1QNs_)Hq_~dYh<)wnitvUTq^xk=8Ll0*C4A8wd1Jnw-lQFF(~JF2migv5m&joOi3 z3ur8vWrN^zBJbW|QD1<BabPlR{f^?G65wzqLl!LK)c00%BU?YfayN zX`78OSOTlR`^?0dBWY z2s3ds?d~6|Z^+LH)1x*88r_QA`xN{Vqn4R8x}8dQSOCj!sp7kU!^;ZcNx^I!D#uQo zYbv92cMg6{=;NQ|;fF(hbuP}|c@dZ8x1g4Osa<2X1@w=iw}jB*$j8g>z&`CdlNzBj zePUqzjPt3TtvSmN_6Ubvpi}egM|j4;Ld!u)nB`cjP$h9P`%6VaLXK~Sg2~^O7isDopku5Qlw;3NbhePZF&Moe8ulkD#K%Iv29R?}1{y`_XTv&8QTl__nl0}dYTO+ZdA~_nUuuvU2s`y>C6wFA zp#JI#xPSbY1>NmtGY@19*WLZA=Uuy0TPa}o4=oY>eNgRm3hPcoo#&HgQv-~ogOLr8C+M@lzoWkTuTEEY!i`QO*OHIB;}f-01eeh!7|#(?`gX^9f34e zMcGl5ZA{Uh7b*tX>ou^UtqBU>YFc*X{K5sS2mCUD}rxEG2-&ldWm-;Xjn$+DMjYSf}g3EqgU7ef1#XvH^OFA4$2GaMdFNxLZ&m|(jqDmR$w8&%>% zBpgU^dfojkC1Gm=npHW^zM-U}sTE!-#MrDvXF_1eOB5pU69=!guJ@u>Mob+`pvw>E z0Vl;l^jU)5Ca+0im$5){1o|>X@?^wgS|JO8tPd*-z{l$$D&;Y&P`9CHGv2PbKtsot zDr&rfFXDNS3YBMj*(~K`_(3p&Na!4}lI}dw>QX)+lkMNnvLO~?mle2c<@iI9VXxg& zc`i>P{^m~U9!Xq z;BJhX4)vPz0%xv~_DpWqdxZKyVoRpnqWA%LA^1i^+PyuFEk9W<&LN(^$NRmO?ZmjO z=<{vv@)4o0f+K?~IxF^8Cn_fNy78;QI3N*YP9WbAVY{$>w1v%>hT5Cnzjy6R`eWGU zdD{(!U@y+^B9_~Gb2C2+G1Jp5oJoE$va5ka6x4g-(`y!u@tbY%KVzRFpdM~t{r=EM zP4?8cGC*CxL=Cq}J9g*hZx94WW76AbtrB9VKaz>E3DIpcWcfHuMdBVM<37w|2#wA*g(@DtY17$k=|u1c%8q4pzM- zJ?S(WY4Ih1cMV*KS8nI8Q=)$yd-CE!P9Mxg->wk;!_)z@4TFG1F?EFeBnmE7aLeO~ ze8s(hcpM7d5!Ch}cajdi>2N+fk40|3a25uo?~xb}n4)^?!Xqy%&0$QhX4Y3F=i4Wds&F(8&M;s10sF=6l%S7R8_6# z)6k*vD5FS&qTi2W?4qF^{?p{;VYpPCbj{51>^%C4VM|XAW!vuDv$f%_l1kQMni0PX za<}|e0D^rV7a*5qpU4Z(45C2@-&nCktLmzWS(veEIJKJ&f>+;HJ_gBe9uw!@(^DyH z@?+d#`XfBVF+TDvgPC;Zw$-IcC-L9}4OQV3YL98ckDPk@7=Ymx*dB#14kV3j9Ruf$@CVQ%lYZuVg z%=izhwATza43c{Zd=-`K#63eY+HMw7UP~qP2xuV>Xv@wB%X46`9@MU;*&smPHGRVpS={v7V%q6V*=s}5fAy=X6<;#MlRcX`TD5h)~j9*@3 zNm|+TtS?dOR;H?Vr4W0sD0)SNG=UuR#%%Kz_ac)c7Vp8;W(4nx&C=gXXVwos<{rG5 zez!BK`d$Hj3r+4PN&P9CGe9Tmo^W#S*TVH13akc&~-s$qYgM(S+NbH4q?v`ME7;;zXDDB4B%w@`=SgzW2QRI`x<-)%qr( zMS}UFRcX&;O!FhfvmZ?7h_j`F(1#REp(Y33yp|RozucF3&ER!spoC@=`>jW2@p0a$ ziu`caqVR#Y*wit6tzNak`kMu%goFuoubbtf)UYSnwzKZmG7dRg!RHnJUU^+u z%_;tfUW&Ca`tLUK9z+b!claDXi*zZMQFL4Q49nZX+tbZqJLDVb>M-r&<@ixl+L4Wo zG^{|$Q{~Iut5^zD0J7U(Jd4RPU>v<{!M01;-h;);?5<^XVO6Yd?HZ^GtQp+KE^{9R z?+vYEU!MNZD|IJvalVzNog0Vp>DR3E;lH zlqG5G=nTSM2@y6`IQ??8wn=27Zs18PL05kHq?W?->cr{Uq)kC>Um04SZE97f*I1xD z3ilojSl45^P-8 zpKYN?#FCuLY#w~%NO@FVT2!q=**bmNg9_Q`&D*cr&$1Q%Sy}I4$&S0xtStY=lt>${7JIIr4J$BleTIR2m z`ruW0VHupA><@deN2>CwBt7y20ZKWjY|RsG>2c>0yulH^Uk zR~6e~D+)EW5jpm2-*)&GGHUFrp5^&T*BX`Caen>=(df(6Z5G|z9R4oKCO;Q>3<4#d zg?se{#~+uUZeLB0NurHgka|9M7U7MMC+_N1kpES)Yci!Pv#;mvRw!+T9Hgk@^;(yn zEu|gkR@Ck#=~!Fq!PdR2cQ$8!M1P`-=tTL3v6o`}$NR}gYYET3boL(mdgYwoeCVC8 z6~W1G35+TDVH3|#@Vt_uT9FxYsrqv+H)DKk?HaJl^V>>ToRoDKhPflY&@ zh*iICYp==GX6r!LB0tOd)^=oyS!jz(VmaBhqS`^B85*U>xe1~#b@8LzyKY85mqeQq zFM#4j##Zcl1K~(;s&K$6QK}BzylmfO6F*$fCAmxKd+z>|Z_fp0OqVU@9~(*%FW9`f3D;eAIl#T?eZwnsMvJ!;5lY}Ji9Qw6vO>R1PK zYS-RR@;SM?_}Z2)XXkwT<%(3zOAiYO+cu<6l0kClk(pe_MX`@5KBJU+7VKyIF`q^? zzu@8g5EZIUWeu|K=eybtS1RRXOw85TvnO%9Of8aJ>`ch_q?P;39{U{G`0xeUr4#K} zt8`f1rFU>Hmr=a-KUF97DSFjg11t~Uw(A1x!zY#AVjD{oN8wJ~N_l5sn z39QhT>B-!2KHP0#R*FR|li}=1+&B(9h`m7@UHv&V@*w~@-VMGPo`_3_jltaf5h$E5!W@* z9yXB+*``=gJ2D-HA=~8!$W|5myzeJlI-f%H`nVj$;sFxoSTRGp(BH{AY6geImStNmh{08-K6!;==WJ)%gpGQAf9 zaY1kf10hKU z*elxxo?t@1O*E;-O)#0ZkV!}uZ&_4u%({w_nMG!G22J>Jq6uDAO#fE$S|*zNVR-yI zozvn+O2&lJttW^NLHHYP!!^-zLxPK%bfsu!CoOWGi9b+Pu-kFyg`$@^`Ll_prcprl z{e5s-uCT#~CWHRP06Sffpys>0CogXM%hKE_4dY$>xc$(TT-BajX`*40ES0Xm!-#~b zv+fql!RE4^aR%8d$_qyUzvAuoqn3zcCO@polI}jy5uSdjYkJouT#`UJ*M=bmvGY<9 z)Apwq9=FNl@?!9+wY&g-jc)^-JG9l27~S-Ni+{v~6hC1u$|Y5paQ!b`$xBs#lN2BJ%SFCi<^*u*Ueugycc|rG{9m2~ypjK!+mgT?DlUoY|b>ZpS@iJkYqM+6*60LhwKln`g=t| z^9Q83kP|td?;J8spr?BwDd*T(?{F74&ip@1fQRi2z)`i3Z1A?1Gl`4jk~X2Qq7mpp zmM2((5e<8lsm2fmm>~(A|6V;Oph-eeImokbdvzWj0GgpbutGg#0@6o=4L6-NfX4kD z#J^{nmXbfO^ht5%u3rG%%NuL`S4k-dpyOu^+{S=VOQCMhVCTdhYzkSePI++t6R> z9HUIC-Q%BK@!F4${u~;Qn!Da6d;%#-f&4BUxN|_;{{`g#V*`PNv$lWNM=ke? zG`1)d*0L*;2JNqOcSw*{1_8l$)2xGlX7T2d9)!GEL&)B&ZMu$l{}d+3srJNOwA0sh z5@GQM6l=4gYCswAIQa~Qg4q&OdnXTEEUY1>{aUD4*S&M7gGm`^-8vKwS|+2Pi_Lz| z49^D5RRj&ZA)Hwgu+T>V$U1+;tJ8lSSVmjUwnJN8-RaT|_{CKqLMQ{qjMXl{b$E3rN_{pli0Zko!o-6T_}UAX3{aitx;J0q;d3 zAFOYPOpVtpa=HewtB#nN*-qEI&lbF+xg#7y54%JLNRFCW?C(OpE>GZv{>BY6_J#nq z!(acaSjw*jVv_!MfW|rqLA9g6v|aCb3d}n?4q9@HbUaQcI=AK^bo!mkX2Td`AjBHK zlJT0bp5?Ka;cu}0Y(T-vZo7`B^4S)6g6m9;YR3Y=1)lN&^g7H*w*9z*Vu0%7W+&^F z?vJFwrHFaZmRU1O+1`C@%o@5Cyn#x@xD7J?+@4BNNSbVNF$8XU3`unF6`z6f%6K}) z=evRUjM}uqTr0Vq3Jtbq9#CX&IG9xta(hfRR=YQ9)8E8tb_k@g&i+i$Xd6;OQud=1 zQ#eOb?)mO`|J^#YL=Ff2OLu0+!O+SeQ81TPAfC{PtuJ~?FsfgYG{g;rz$f41sjGn} z%okj0e5lSU%U`i;I{N(v*gve9Mf;!okXmV&4S@JgVH#z; z*|Q;*o*TCTy*COWz`nzcqpi&ve#VMram+gfy_yx2U-wM9B_E9bL1yBVb(gNQh?-JvfnZ z>Gg6zu|A}jU4goitbkIhP3@ydi_c5RP$V{YGt3YkCO6LT0|S1$wTB0}%DrEyFfz;kLijKpE6=Syzm@%Q zN3A4kNCSNY*e2RSv8P854Y#A+GW3T4E2CGuI|Uq9R)%l$^}}a(3&4TT4$kkoopESU z?DD30h{oyOsNU7`TzI4y7H+#EYVGNdC9m@WOgjF1dCDWbz{!340M3km>xX&3V7 z+XY%UW1ggKGL>q>8@OGiL`~dpAkp1=HU*gM?sL_Wbz5OSkU$!()%~UNH&H)g*A;uc^gBCVhQP%gubn@DGZG&X;>xZYAe6c2!Oh1H zAJz3N>@)uLp1;xu{SS<_x3{-&39X?(?bH0S1@$Uwsj(829)_ z+!CTTf0RlFKEFLz3)~&8A#=7hXn5^|i>Y~+JJim@T~XYKM>D>e0ddy+oyh%H=ew`k zl8?H$mo_U%~1Fl}Mk_QH$`SP_2ItC5FxPMt_L&Bp&Z| zhD+1J-DJb~Gn^Zk#XWb6wn21nSKqE@cj{5JqP=Dn8SB~3m-R&yq)zjrfJ~HzrD1z6 zF$p{h2CX4YiF;ma28MYUWrkxvWFBlY*1GCg_kqpqqq(^J4f2z2_Yit$F~zMPw(HbI zJ1}&_v?aIi!a{3nED-1B8~Mlrk9q!>)k2_D`s#|9i46hgT_Hkx$jUkRui3Wo9Un zmhfuHX=kR_kFwjt3Gkf0AVN<&Vdm_>2j?gO@nZs4YzK$LG*ZtPkJoGLlb&|kV4DHW zkn5|OIQ=#gH7w$T)J;xiDkt^SV1-1=Hi`l>WfA}IW-0L?oQd&WVrR}PkZWWa_ip4YvK4E8$n|8HFz0c~2$slZ+aevQ8zqL{!Wmoi0n@H?5SmaWt81Idxxo zeccvQVQSghJqAM7(=pdS0imssnZ-?ig&AhZP2mR%Y|#A#I5#q1q_;M|OLzI#Z;sos zJ+DLD4&FkMWmMUk#F3==rb+JMHn1r@R(EW%0g2F0of;KnTIWTqg=le)4sH{4(~HHD zFmolcW3DT?1pJC7k{B_Kxw|hvSqH+`mnt8TM5S!6zVpQ6uQ*YXi&o{4Nns`J7{^#5 zn~l#fvqu=l2!oBy_0SqBGbmP+pkzFKqjYp9f5GMr-rvt*mYs$~__zcq$_ZSo@2y`6 z2zA*`lX*9+D-e>Xx!pv+dm~0V3uVigrO2Bf*?i*r_)avsQ@#tUB_s=I_AQ|z4%<>* zdx=UW>Mc3>7fKZkCJKGU6Nz}YDjIVf2q zynw2=nxb4{g~yt6SsmZK;s8_#Bn4$Y=9L8D#Gn)WfkQGb^Vy8@BkHU%Od?!E>tmrF7`WCY~CN{rk0 z*8s1rEfNn)6hh(fM&wdQmC(7)RoE!sLS2P@C&OEC2;ctxH!;7^o`#5Xun?hYUB{A7 z{#0XiekLtx2@eb~nAcmJ_tYEW#aLmFAd^PM@J@0pS;&{=Uq%fvy0wGsv4_>ewYLxo zAEWWzh?FIGuLk0BfBIRJy6pp7|4J9o-XY7ev*D8MEetNfRV1ROta_i1VB_ddKf?7S;XI)Y4Sc5+&2BpEfna+j*FSXk~;Q-qcqY z5yO~Zxz{Y~R*4)0_*;;8SY0z=E`O=t9+tOEXT|8YKEmfBrEvKX3=}rB2Ks<{>*s@Ep9H^-YJ?aY#N5;fcg`fH>amc?r6RR&#)9taNTzMB3K zr;}H|n`eLB*Lc{#RReWpLh@b2+v~6%(`6X`=jtNlihA`?&PE1%`d~dUptvF2`IT;Vd)zlGCT(lgut8WuLd3N) z9;r;cz&RBD$vC{Rqg!erMO-qn=&Qz`PW6fgTx!b-pB=z1ZnMMVmvQjZPgdBgB(ln^ zfRM`m)m2h!-c@oK;VMl)G)8c}>VtX#3(iS`V+tJiR&2Nm1Yfr?R&4El{@aLZKXKMM zc2UKDFCW$~29a{Ug60tGmDyd-10#|Ak<={-5uy>=*%O$zBaQo5#kbPA!|!NP(JDO( zybNP@B&C^H2TCwcVQDJAgb%Q>4=l}2!!+OA%CXDMvUNwuhlMpFX>R4gj372?2aoNTH1){~6beu`h;{>kYYk!y-Es$w)I(7DCRT>ABxu|?>O~<_*>*6M>UuF6dNjy=lJDY7-EDjMOYHS{I)is;^!JI=(M4}|FrIl z6~i@H_xs`iDn)(ee*hAnV&s&3Z!>37pXIe=F=oaIrHK~>X>gd zEB>BN^@3V$M2PLqt9XIlg5TcW^1H`h*}Y3A=fQ0zd<7h*3hA-}xk)m2BvC5{8xMlN zo;1%_`E$lPNXbOe-()nMZ^^nFQzZC>9avG_|3-hRWSC{K^S5mK25{4-v{a!eV;nP=Bt{me7*j`veMI46<`;zwGxx78@(wI0fCemwjEIHSaR&nhdr4QzrW+o-x{o%ySCP=0_=-ZeD;w#rO zq7dYjf$z{9n{32n5u}El?bRT6e5wn(u5S}5+{dUup>^T)jPvqOaz8bmh237VCSL{l z!ljfpZB0em7_UTXWCr@1*GN~x7&%yppBQqt5r3Xu7~``*WrkW!O0xt1_r9i3754oF zkvB6WCFOXxzwby=M4<~77{}&aiufX>#q?i>GD%FkYb)~Qa=}=%BQCyxRSOvE)~@ru zddhf2guL^i(;LHFS3)GkzviHgtzJ+D1VJgYU((><54=b>!|=4jW8Z!1*ziv+9S214 zxUPIFVtxewL0&6YudnGFax_J2$|GXR$G#McU^}GM@osZ+K38;CZMPjmhmqh#a-5`i}Sf=G+2yzZCp@Ro*UwB8Ipxh0K~ zzG#N4-xpDUb;w@mSZzw{aQIG5$YN6dh_Ff6q@-Fn_L69=m`Tk(du-9ION+!jtR{^I zCLXcnoa}UtJNAZm{M&V}#SXyx&%+oEWzbgqFMKkDtGg0P-41`!YJE8lQ#OgoNDhkK zl1#dICDf{0+)A%OwCZBm&5970;>UR0`zbO5)dtr2NenS#TQ|mZnySOXRC>gV5vYX0sL$5KD zFf}N*`^N`1pW#05Vn?=@lkDbPjrtaB#zCL^6_HxSnhUa>8csdE%TDb*hE6ZddJEw4 z$vFeRJZ#RE#iz1k4j;)brA#*NR;^RvT!xmUmp;(qKY6-r(f4f0_0%f_SReAJTftIa zs+nRs(WZfns>Wz4LLW(Cl{6Bc^V@Q>q9*UJk`0U6?UE5T;>O3it?RqO`P%x?kr9$_?%x_f1Kuk|BjtgnJ(WM-?~D#h3B_ph9K zRk%s0-G6Aqr#C0rsfEczf46V&Yh|i1oZyG5g(!hbf&Mm?geISTrf&dC_Z1P&md{}a zwl5#q(N7kmDoUDKXN(+qBXeFuB!)2&sJ8uNqYRYhgpa>OqJpL zQres3e*Hi=Ls+nrccbgTg8!b-HP(+3yy=@qTu!Esw&zDH`qqzUYZ>Ww@4_p)E23@@ zq3So@^NjOD^WMq%x@(;CH0muzv~?3p#rA;8qdu+5UteQrS0JQm!sph^NbD2GT$TI# z$qRhtScdIVsX&1qY7k}d<_82a$6T`rdn~qL<{4u!^YwP&ti+bNB=Lw{y{W(Hvrp_* zQ%@`UC47nuiuN<}OvPqbUZ%xTEYERQj}?ZWaaAAtEk!E<7jtMOE*#OjgmHJ0*3`3o zhkY4nh|7~supe6*9i4=dw0==~b8dK`$KdCq&VW@TTGk!AQ%v2HBZGPgU*Pay-t+F# zk&_)|f~>Q%ZM`!rHAXUR{3pe;#C#m+%u%aATb$p#ExJl4T^8Q;oh#x;WHBgENzFUV zt_wZu#8u-9sn4t)dIeEM=!yt1qC$Bm8jNE61N%OJfpgXbEw`M%XM!; z&~mO?Z?NnD9n+wcDFyMQ3H^by`t&8S(X7?Rzi8uvZ#@>_ADV=)$JQTIg?(!yz*53$^eTru zaG-!J_tm+%Ud+2YoEJ+N&W!cPOf{lR>^Pdmt|g0&o8*_kR}6P=w?sZ3FYtnURjJoI zBAzEnU9YdH@7T~h-&|i_n-~nso$+#R$LZW+?u31_ov)IQSE&=~Hd)RYJWN9s^I zd-U3pk*TLg8QBqW5&~0p`c0OJI-z5GeD21I3Rd1R<}gCjVtq*^i_nq&w3p@CQ19>h zMJKGD;}cE!k7yUOx?OMN`FK_+j3jvs6O^BsnJqI{EwgBWINRO78(<%O9Ow0oRn4D% zVC>hLM7kIuw?e8^PfwnH#UT^rK%a#KMFtkjS6o`(b#7|+lv3f_1)|ku}DirHh%b~iAw)E zT{zqKJ4BjX+Y0@fZN_Y5z6{OTJ}3@cssJXJfN z7cmX!0bl`~>gf>t@b{H`rlgkvg9@iF(*}9tQjJ*>u6$9C?DC^OB~>5V8KW_1cW&J99QNz%3Fnk=O_wrHHM8no?Vde0be%^GWBC*k6es6YWn(E_y{) z_}*`pMM#JmMLIej>>$x(4)>CL@Kr@@YW(CKWR}_0btpV_>5;Tb&h#L#_Apuln2jb% z=5H1Rgj3PzWVaI`<1HXBKJ-Dd#bC#*HJM4OqxoxwLx z;6S5y!F(g-kreW+O>U9uOQ}DJ0hb$HZn^f&HHtqi3!BMcQi z%?a5NdT%gBwq}KKE&(lh27Si??~DYzR!!I+n*914{VocJ``n>xX2$p`@;qT?)Sg^3 z)MvTvn4~sEuIa%|e||ZCix;_3Y4;X$jyE~FO>$k{cp>-OJX+yb+Lt{~>AFmAgXK`6 zv5<{^V>{`*Y}+#K@v4GBh`V?rM?*H*7d0N<87UjN^}w(RR(!%n{Qj?}vpMyRDbouf zZCv)!ovw)WX_Rr)@Hh>$`WrYdsm?7(KFdmTs{rEQJ4>#q4I&|3t)H$lCDQ7o%TtVg zfC1u^u&QwGVghj)B@aUd0~>?6vyO*s#_+U6t4ElXqC7Q#)`NAG35(agp|mF~bsOgo%ThDC0Ez zi4ZjtBM0LiWGmX1*Qv3uT2->U!*XxjZlim@D|}(MU(SCA)Kec#0(ZTsJN<06LsjuE zDF!`Lhy>ScJujV;_zDD6yf|u35q5>fZS-2X%OEPDCy$MzseHmbpZ02PSH~qs+#+WQ zL6#W9U3X1uZc$V2;zuSK3l7{C+@kjS#uq{jL-UzZL=xkLxrH)?gv0Dy*Q&8cmXCNZ{tDSQDH z_n~&dpZ^zflF$8(kC>Pj(!o%}AHLN01C&o-2UD6y+w5Xo3n#zw-%*=Jm?ENqwvx#> zw!Fp*T=wO)Oicz8%6`lNa*430xeUIHc;J>4`F*r2y?{e_OFAqn4d}mruipBf=LL`b zjyU-v%XqC(A9IxB1G0_gw;18iG^h1*(GPhti^7&sB`$DKyofgbNMF1%ul~vY#Z3yd zx#|LBAsYsfgu}K(xyCs9RTEzwG|@kCQjla$@(trwVVZv95^;O7c~R;Pcd>zsiR;9F zOw$E4y=^Mc|G$~laZT7!olEKd-RK_mIPu+kV+5e@Xe=;1E;OEI1b)T9$nhT9)TE4=ySQkr5}*tHpCh-}kgdjd|C4HEq}i0f z95sIdQi(q~B34ZVWESpJb~=5~GaAB*#J#}eZ=s>${3x~8rvE6AT|AxK1S|~RR6@h! zczuWaZ-C2UHgGs`D}#*QPN^u^J(58)reYTW(G9bC9n6?+7BEE#mOG1brGcNbQv9z8 zZa6RozQBK-%dCJ^V_wRpn<(TW{-5&!?Y6F;0QQ*z6dugrf&72Ip?`m)E(q2C_!+S9 zO7H$-3I1J)J(msU|7#ZhwL}}{?!y0 '0'); + signal miso_i : std_logic := '0'; + + --Outputs + signal miso_data_o : std_logic_vector(7 downto 0); + signal data_ready_o : std_logic; + signal cs_o : std_logic; + signal sclk_o : std_logic; + signal mosi_o : std_logic; + + -- Clock period definitions +-- Clock period definitions +constant clk_i_period : time := 20 ns; +constant sckPeriod : time := 200 ns; + +signal SPISIGNAL : std_logic_vector(7 downto 0) := (others => '0'); +signal spiWrite : std_logic := '0'; +signal spiWriteDone : std_logic := '0'; + +BEGIN + + + +-- Instantiate the Unit Under Test (UUT) +uut: lw_spi_master PORT MAP ( +clk_i => clk_i, +en_i => en_i, +mosi_data_i => mosi_data_i, +miso_data_o => miso_data_o, +data_ready_o => data_ready_o, +cs_o => cs_o, +sclk_o => sclk_o, +mosi_o => mosi_o, +miso_i => miso_i +); + +-- Clock process definitions +clk_i_process :process +begin + clk_i <= '0'; + wait for clk_i_period/2; + clk_i <= '1'; + wait for clk_i_period/2; +end process; + +SPIWRITE_P : process begin + + wait until rising_edge(spiWrite); + + -- for cpol = 1 cpha = 1 + -- for cpol = 0 cpha = 0 + + miso_i <= SPISIGNAL(7); + wait until falling_edge(sclk_o); + miso_i <= SPISIGNAL(6); + wait until falling_edge(sclk_o); + miso_i <= SPISIGNAL(5); + wait until falling_edge(sclk_o); + miso_i <= SPISIGNAL(4); + wait until falling_edge(sclk_o); + miso_i <= SPISIGNAL(3); + wait until falling_edge(sclk_o); + miso_i <= SPISIGNAL(2); + wait until falling_edge(sclk_o); + miso_i <= SPISIGNAL(1); + wait until falling_edge(sclk_o); + miso_i <= SPISIGNAL(0); + + -- for cpol = 0 cpha = 1 + -- for cpol = 1 cpha = 0 + + -- miso_i <= SPISIGNAL(7); + -- wait until rising_edge(sclk_o); + -- miso_i <= SPISIGNAL(6); + -- wait until rising_edge(sclk_o); + -- miso_i <= SPISIGNAL(5); + -- wait until rising_edge(sclk_o); + -- miso_i <= SPISIGNAL(4); + -- wait until rising_edge(sclk_o); + -- miso_i <= SPISIGNAL(3); + -- wait until rising_edge(sclk_o); + -- miso_i <= SPISIGNAL(2); + -- wait until rising_edge(sclk_o); + -- miso_i <= SPISIGNAL(1); + -- wait until rising_edge(sclk_o); + -- miso_i <= SPISIGNAL(0); + + spiWriteDone <= '1'; + wait for 1 ps; + spiWriteDone <= '0'; + +end process; + + +-- Stimulus process +stim_proc: process +begin + -- hold reset state for 100 ns. + wait for 100 ns; + + wait for clk_i_period*10; + + -- insert stimulus here + +---------------------------------------------------------------- +-- -- CPOL,CPHA = 00 + en_i <= '1'; + + -- write 0xA7, read 0xB2 + mosi_data_i <= x"A7"; + wait until falling_edge(cs_o); + SPISIGNAL <= x"B2"; + spiWrite <= '1'; + wait until rising_edge(spiWriteDone); + spiWrite <= '0'; + + -- write 0xB8, read 0xC3 + wait until rising_edge(data_ready_o); + mosi_data_i <= x"B8"; + wait until falling_edge(data_ready_o); + SPISIGNAL <= x"C3"; + spiWrite <= '1'; + wait until rising_edge(spiWriteDone); + spiWrite <= '0'; + en_i <= '0'; + +---------------------------------------------------------------- +-- -- CPOL,CPHA = 10 +-- en_i <= '1'; +-- +-- -- write 0xA7, read 0xB2 +-- mosi_data_i <= x"A7"; +-- wait until falling_edge(cs_o); +-- wait for 50 ns; +-- SPISIGNAL <= x"B2"; +-- spiWrite <= '1'; +-- wait until rising_edge(spiWriteDone); +-- spiWrite <= '0'; +-- +-- -- write 0xB8, read 0xC3 +-- wait until rising_edge(data_ready_o); +-- mosi_data_i <= x"B8"; +-- wait until falling_edge(data_ready_o); +-- SPISIGNAL <= x"C3"; +-- spiWrite <= '1'; +-- wait until rising_edge(spiWriteDone); +-- spiWrite <= '0'; +-- en_i <= '0'; + +---------------------------------------------------------------- + -- CPOL,CPHA = 01 +-- en_i <= '1'; +-- +-- -- write 0xA7, read 0xB2 +-- mosi_data_i <= x"A7"; +-- wait until falling_edge(cs_o); +-- wait until rising_edge(sclk_o); +-- SPISIGNAL <= x"B2"; +-- spiWrite <= '1'; +-- wait until rising_edge(spiWriteDone); +-- spiWrite <= '0'; +-- +-- -- write 0xB8, read 0xC3 +-- wait until rising_edge(data_ready_o); +-- mosi_data_i <= x"B8"; +-- wait until rising_edge(sclk_o); +-- SPISIGNAL <= x"C3"; +-- spiWrite <= '1'; +-- wait until rising_edge(spiWriteDone); +-- spiWrite <= '0'; +-- en_i <= '0'; + +---------------------------------------------------------------- +-- -- CPOL,CPHA = 11 +-- en_i <= '1'; +-- +-- -- write 0xA7, read 0xB2 +-- mosi_data_i <= x"A7"; +-- wait until falling_edge(cs_o); +-- wait until falling_edge(sclk_o); +-- SPISIGNAL <= x"B2"; +-- spiWrite <= '1'; +-- wait until rising_edge(spiWriteDone); +-- spiWrite <= '0'; +-- +-- -- write 0xB8, read 0xC3 +-- wait until rising_edge(data_ready_o); +-- mosi_data_i <= x"B8"; +-- wait until falling_edge(sclk_o); +-- SPISIGNAL <= x"C3"; +-- spiWrite <= '1'; +-- wait until rising_edge(spiWriteDone); +-- spiWrite <= '0'; +-- en_i <= '0'; + + + + wait for 1 us; + + assert false + report "SIM DONE" + severity failure; +end process; + +END; diff --git a/LAB3/ip/axi4-stream-spi-master/xgui/axi4stream_spi_master_v1_0.tcl b/LAB3/ip/axi4-stream-spi-master/xgui/axi4stream_spi_master_v1_0.tcl new file mode 100644 index 0000000..5bd7cde --- /dev/null +++ b/LAB3/ip/axi4-stream-spi-master/xgui/axi4stream_spi_master_v1_0.tcl @@ -0,0 +1,74 @@ +# Definitional proc to organize widgets for parameters. +proc init_gui { IPINST } { + ipgui::add_param $IPINST -name "Component_Name" + #Adding Page + set Page_0 [ipgui::add_page $IPINST -name "Page 0"] + ipgui::add_param $IPINST -name "c_clkfreq" -parent ${Page_0} + #Adding Group + set SPI_parameters [ipgui::add_group $IPINST -name "SPI parameters" -parent ${Page_0}] + set c_sclkfreq [ipgui::add_param $IPINST -name "c_sclkfreq" -parent ${SPI_parameters}] + set_property tooltip {Desired SCLK frequency (must be less or equal than aclk_freq/8)} ${c_sclkfreq} + ipgui::add_param $IPINST -name "c_cpol" -parent ${SPI_parameters} + ipgui::add_param $IPINST -name "c_cpha" -parent ${SPI_parameters} + + + +} + +proc update_PARAM_VALUE.c_clkfreq { PARAM_VALUE.c_clkfreq } { + # Procedure called to update c_clkfreq when any of the dependent parameters in the arguments change +} + +proc validate_PARAM_VALUE.c_clkfreq { PARAM_VALUE.c_clkfreq } { + # Procedure called to validate c_clkfreq + return true +} + +proc update_PARAM_VALUE.c_cpha { PARAM_VALUE.c_cpha } { + # Procedure called to update c_cpha when any of the dependent parameters in the arguments change +} + +proc validate_PARAM_VALUE.c_cpha { PARAM_VALUE.c_cpha } { + # Procedure called to validate c_cpha + return true +} + +proc update_PARAM_VALUE.c_cpol { PARAM_VALUE.c_cpol } { + # Procedure called to update c_cpol when any of the dependent parameters in the arguments change +} + +proc validate_PARAM_VALUE.c_cpol { PARAM_VALUE.c_cpol } { + # Procedure called to validate c_cpol + return true +} + +proc update_PARAM_VALUE.c_sclkfreq { PARAM_VALUE.c_sclkfreq } { + # Procedure called to update c_sclkfreq when any of the dependent parameters in the arguments change +} + +proc validate_PARAM_VALUE.c_sclkfreq { PARAM_VALUE.c_sclkfreq } { + # Procedure called to validate c_sclkfreq + return true +} + + +proc update_MODELPARAM_VALUE.c_clkfreq { MODELPARAM_VALUE.c_clkfreq PARAM_VALUE.c_clkfreq } { + # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value + set_property value [get_property value ${PARAM_VALUE.c_clkfreq}] ${MODELPARAM_VALUE.c_clkfreq} +} + +proc update_MODELPARAM_VALUE.c_sclkfreq { MODELPARAM_VALUE.c_sclkfreq PARAM_VALUE.c_sclkfreq } { + # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value + set_property value [get_property value ${PARAM_VALUE.c_sclkfreq}] ${MODELPARAM_VALUE.c_sclkfreq} +} + +proc update_MODELPARAM_VALUE.c_cpol { MODELPARAM_VALUE.c_cpol PARAM_VALUE.c_cpol } { + # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value + set_property value [get_property value ${PARAM_VALUE.c_cpol}] ${MODELPARAM_VALUE.c_cpol} +} + +proc update_MODELPARAM_VALUE.c_cpha { MODELPARAM_VALUE.c_cpha PARAM_VALUE.c_cpha } { + # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value + set_property value [get_property value ${PARAM_VALUE.c_cpha}] ${MODELPARAM_VALUE.c_cpha} +} + From 60a8aa912d0e2142b99f71f2a861bd41bc339c6a Mon Sep 17 00:00:00 2001 From: Cd16d Date: Mon, 12 May 2025 14:20:41 +0200 Subject: [PATCH 2/3] Add initial design files and project configuration for LAB3 - Created a new Block Design Archive (lab_3.bda) for LAB3, defining nodes and edges for the design. - Added a placeholder README file in the simulation directory. - Initialized a Vivado project file (lab3.xpr) with configuration settings and source files for synthesis and simulation. - Updated vhdl_ls.toml to include LAB3 source and simulation files for VHDL language server support. --- .gitignore | 1 - LAB3/cons/io.xdc | 13 + LAB3/design/lab_3/lab_3.bd | 2104 +++++++++++++++++++++++++++++++++++ LAB3/design/lab_3/lab_3.bda | 42 + LAB3/sim/ReadMe.md | 3 + LAB3/vivado/lab3/lab3.xpr | 327 ++++++ vhdl_ls.toml | 8 +- 7 files changed, 2493 insertions(+), 5 deletions(-) create mode 100644 LAB3/cons/io.xdc create mode 100644 LAB3/design/lab_3/lab_3.bd create mode 100644 LAB3/design/lab_3/lab_3.bda create mode 100644 LAB3/sim/ReadMe.md create mode 100644 LAB3/vivado/lab3/lab3.xpr diff --git a/.gitignore b/.gitignore index 488d631..977e5c0 100644 --- a/.gitignore +++ b/.gitignore @@ -42,7 +42,6 @@ *.qws *.wdf *.lpr -*.xdc *.bxml diff --git a/LAB3/cons/io.xdc b/LAB3/cons/io.xdc new file mode 100644 index 0000000..ee4f8ea --- /dev/null +++ b/LAB3/cons/io.xdc @@ -0,0 +1,13 @@ +# SPI connected to JA, top row +set_property PACKAGE_PIN J1 [get_ports SPI_M_0_ss_io] +set_property PACKAGE_PIN G2 [get_ports SPI_M_0_sck_io] +set_property PACKAGE_PIN L2 [get_ports SPI_M_0_io0_io] +set_property PACKAGE_PIN J2 [get_ports SPI_M_0_io1_io] +set_property IOSTANDARD LVCMOS33 [get_ports SPI_M_0_io0_io] +set_property IOSTANDARD LVCMOS33 [get_ports SPI_M_0_io1_io] +set_property IOSTANDARD LVCMOS33 [get_ports SPI_M_0_sck_io] +set_property IOSTANDARD LVCMOS33 [get_ports SPI_M_0_ss_io] +set_property OFFCHIP_TERM NONE [get_ports SPI_M_0_io0_io] +set_property OFFCHIP_TERM NONE [get_ports SPI_M_0_io1_io] +set_property OFFCHIP_TERM NONE [get_ports SPI_M_0_sck_io] +set_property OFFCHIP_TERM NONE [get_ports SPI_M_0_ss_io] diff --git a/LAB3/design/lab_3/lab_3.bd b/LAB3/design/lab_3/lab_3.bd new file mode 100644 index 0000000..34486a8 --- /dev/null +++ b/LAB3/design/lab_3/lab_3.bd @@ -0,0 +1,2104 @@ +{ + "design": { + "design_info": { + "boundary_crc": "0xFF71C05CB0B1FCB6", + "device": "xc7a35tcpg236-1", + "gen_directory": "../../../../lab3.gen/sources_1/bd/lab_3", + "name": "lab_3", + "rev_ctrl_bd_flag": "RevCtrlBdOff", + "synth_flow_mode": "None", + "tool_version": "2020.2", + "validated": "true" + }, + "design_tree": { + "clk_wiz_0": "", + "proc_sys_reset_0": "", + "axis_dual_i2s_0": "", + "proc_sys_reset_1": "", + "axi4stream_spi_master_0": "", + "digilent_jstk2_0": "", + "edge_detector_toggle_0": "", + "edge_detector_toggle_1": "", + "debouncer_0": "", + "axis_broadcaster_0": "", + "moving_average_filte_0": "", + "volume_controller_0": "", + "LFO_0": "", + "balance_controller_0": "", + "effect_selector_0": "", + "led_controller_0": "", + "led_level_controller_0": "", + "mute_controller_0": "" + }, + "interface_ports": { + "SPI_M_0": { + "mode": "Master", + "vlnv": "xilinx.com:interface:spi_rtl:1.0" + } + }, + "ports": { + "sys_clock": { + "type": "clk", + "direction": "I", + "parameters": { + "CLK_DOMAIN": { + "value": "lab_3_sys_clock", + "value_src": "default" + }, + "FREQ_HZ": { + "value": "100000000" + }, + "FREQ_TOLERANCE_HZ": { + "value": "0", + "value_src": "default" + }, + "INSERT_VIP": { + "value": "0", + "value_src": "default" + }, + "PHASE": { + "value": "0.000" + } + } + }, + "reset": { + "type": "rst", + "direction": "I", + "parameters": { + "INSERT_VIP": { + "value": "0", + "value_src": "default" + }, + "POLARITY": { + "value": "ACTIVE_HIGH" + } + } + }, + "tx_lrck_0": { + "direction": "O" + }, + "rx_sdin_0": { + "direction": "I" + }, + "rx_sclk_0": { + "direction": "O" + }, + "rx_lrck_0": { + "direction": "O" + }, + "rx_mclk_0": { + "direction": "O" + }, + "tx_sdout_0": { + "direction": "O" + }, + "tx_sclk_0": { + "direction": "O" + }, + "tx_mclk_0": { + "direction": "O" + }, + "lfo_enable": { + "direction": "I" + }, + "effect": { + "direction": "I" + }, + "LED": { + "direction": "O", + "left": "15", + "right": "0" + } + }, + "components": { + "clk_wiz_0": { + "vlnv": "xilinx.com:ip:clk_wiz:6.0", + "xci_name": "lab_3_clk_wiz_0_0", + "xci_path": "ip\\lab_3_clk_wiz_0_0\\lab_3_clk_wiz_0_0.xci", + "inst_hier_path": "clk_wiz_0", + "parameters": { + "CLKOUT1_JITTER": { + "value": "149.337" + }, + "CLKOUT1_PHASE_ERROR": { + "value": "122.577" + }, + "CLKOUT1_REQUESTED_OUT_FREQ": { + "value": "100" + }, + "CLKOUT2_JITTER": { + "value": "201.826" + }, + "CLKOUT2_PHASE_ERROR": { + "value": "122.577" + }, + "CLKOUT2_REQUESTED_OUT_FREQ": { + "value": "22.579" + }, + "CLKOUT2_USED": { + "value": "true" + }, + "CLK_IN1_BOARD_INTERFACE": { + "value": "sys_clock" + }, + "MMCM_CLKFBOUT_MULT_F": { + "value": "7.000" + }, + "MMCM_CLKOUT0_DIVIDE_F": { + "value": "7.000" + }, + "MMCM_CLKOUT1_DIVIDE": { + "value": "31" + }, + "MMCM_DIVCLK_DIVIDE": { + "value": "1" + }, + "NUM_OUT_CLKS": { + "value": "2" + }, + "RESET_BOARD_INTERFACE": { + "value": "reset" + }, + "USE_BOARD_FLOW": { + "value": "true" + }, + "USE_LOCKED": { + "value": "true" + } + } + }, + "proc_sys_reset_0": { + "vlnv": "xilinx.com:ip:proc_sys_reset:5.0", + "xci_name": "lab_3_proc_sys_reset_0_0", + "xci_path": "ip\\lab_3_proc_sys_reset_0_0\\lab_3_proc_sys_reset_0_0.xci", + "inst_hier_path": "proc_sys_reset_0" + }, + "axis_dual_i2s_0": { + "vlnv": "DigiLAB:ip:axis_dual_i2s:1.0", + "xci_name": "lab_3_axis_dual_i2s_0_0", + "xci_path": "ip\\lab_3_axis_dual_i2s_0_0\\lab_3_axis_dual_i2s_0_0.xci", + "inst_hier_path": "axis_dual_i2s_0" + }, + "proc_sys_reset_1": { + "vlnv": "xilinx.com:ip:proc_sys_reset:5.0", + "xci_name": "lab_3_proc_sys_reset_1_0", + "xci_path": "ip\\lab_3_proc_sys_reset_1_0\\lab_3_proc_sys_reset_1_0.xci", + "inst_hier_path": "proc_sys_reset_1" + }, + "axi4stream_spi_master_0": { + "vlnv": "DigiLAB:ip:axi4stream_spi_master:1.0", + "xci_name": "lab_3_axi4stream_spi_master_0_0", + "xci_path": "ip\\lab_3_axi4stream_spi_master_0_0\\lab_3_axi4stream_spi_master_0_0.xci", + "inst_hier_path": "axi4stream_spi_master_0", + "parameters": { + "c_clkfreq": { + "value": "215000000" + }, + "c_sclkfreq": { + "value": "5000" + } + } + }, + "digilent_jstk2_0": { + "vlnv": "xilinx.com:module_ref:digilent_jstk2:1.0", + "xci_name": "lab_3_digilent_jstk2_0_0", + "xci_path": "ip\\lab_3_digilent_jstk2_0_0\\lab_3_digilent_jstk2_0_0.xci", + "inst_hier_path": "digilent_jstk2_0", + "parameters": { + "CLKFREQ": { + "value": "215000000" + } + }, + "reference_info": { + "ref_type": "hdl", + "ref_name": "digilent_jstk2", + "boundary_crc": "0x0" + }, + "interface_ports": { + "m_axis": { + "mode": "Master", + "vlnv": "xilinx.com:interface:axis_rtl:1.0", + "parameters": { + "TDATA_NUM_BYTES": { + "value": "1", + "value_src": "constant" + }, + "TDEST_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "TID_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "TUSER_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "HAS_TREADY": { + "value": "1", + "value_src": "constant" + }, + "HAS_TSTRB": { + "value": "0", + "value_src": "constant" + }, + "HAS_TKEEP": { + "value": "0", + "value_src": "constant" + }, + "HAS_TLAST": { + "value": "0", + "value_src": "constant" + }, + "FREQ_HZ": { + "value": "100000000", + "value_src": "ip_prop" + }, + "PHASE": { + "value": "0.0", + "value_src": "ip_prop" + }, + "CLK_DOMAIN": { + "value": "/clk_wiz_0_clk_out1", + "value_src": "ip_prop" + } + }, + "port_maps": { + "TDATA": { + "physical_name": "m_axis_tdata", + "direction": "O", + "left": "7", + "right": "0" + }, + "TVALID": { + "physical_name": "m_axis_tvalid", + "direction": "O" + }, + "TREADY": { + "physical_name": "m_axis_tready", + "direction": "I" + } + } + }, + "s_axis": { + "mode": "Slave", + "vlnv": "xilinx.com:interface:axis_rtl:1.0", + "parameters": { + "TDATA_NUM_BYTES": { + "value": "1", + "value_src": "constant" + }, + "TDEST_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "TID_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "TUSER_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "HAS_TREADY": { + "value": "0", + "value_src": "constant" + }, + "HAS_TSTRB": { + "value": "0", + "value_src": "constant" + }, + "HAS_TKEEP": { + "value": "0", + "value_src": "constant" + }, + "HAS_TLAST": { + "value": "0", + "value_src": "constant" + }, + "FREQ_HZ": { + "value": "100000000", + "value_src": "ip_prop" + }, + "PHASE": { + "value": "0.0", + "value_src": "ip_prop" + }, + "CLK_DOMAIN": { + "value": "/clk_wiz_0_clk_out1", + "value_src": "ip_prop" + } + }, + "port_maps": { + "TDATA": { + "physical_name": "s_axis_tdata", + "direction": "I", + "left": "7", + "right": "0" + }, + "TVALID": { + "physical_name": "s_axis_tvalid", + "direction": "I" + } + } + } + }, + "ports": { + "aclk": { + "type": "clk", + "direction": "I", + "parameters": { + "ASSOCIATED_BUSIF": { + "value": "m_axis:s_axis", + "value_src": "constant" + }, + "ASSOCIATED_RESET": { + "value": "aresetn", + "value_src": "constant" + }, + "FREQ_HZ": { + "value": "100000000", + "value_src": "ip_prop" + }, + "PHASE": { + "value": "0.0", + "value_src": "ip_prop" + }, + "CLK_DOMAIN": { + "value": "/clk_wiz_0_clk_out1", + "value_src": "ip_prop" + } + } + }, + "aresetn": { + "type": "rst", + "direction": "I", + "parameters": { + "POLARITY": { + "value": "ACTIVE_LOW", + "value_src": "constant" + } + } + }, + "jstk_x": { + "direction": "O", + "left": "9", + "right": "0" + }, + "jstk_y": { + "direction": "O", + "left": "9", + "right": "0" + }, + "btn_jstk": { + "direction": "O" + }, + "btn_trigger": { + "direction": "O" + }, + "led_r": { + "direction": "I", + "left": "7", + "right": "0" + }, + "led_g": { + "direction": "I", + "left": "7", + "right": "0" + }, + "led_b": { + "direction": "I", + "left": "7", + "right": "0" + } + } + }, + "edge_detector_toggle_0": { + "vlnv": "xilinx.com:module_ref:edge_detector_toggle:1.0", + "xci_name": "lab_3_edge_detector_toggle_0_0", + "xci_path": "ip\\lab_3_edge_detector_toggle_0_0\\lab_3_edge_detector_toggle_0_0.xci", + "inst_hier_path": "edge_detector_toggle_0", + "reference_info": { + "ref_type": "hdl", + "ref_name": "edge_detector_toggle", + "boundary_crc": "0x0" + }, + "ports": { + "input_signal": { + "direction": "I" + }, + "clk": { + "type": "clk", + "direction": "I", + "parameters": { + "ASSOCIATED_RESET": { + "value": "reset", + "value_src": "constant" + }, + "FREQ_HZ": { + "value": "100000000", + "value_src": "ip_prop" + }, + "PHASE": { + "value": "0.0", + "value_src": "ip_prop" + }, + "CLK_DOMAIN": { + "value": "/clk_wiz_0_clk_out1", + "value_src": "ip_prop" + } + } + }, + "reset": { + "type": "rst", + "direction": "I", + "parameters": { + "POLARITY": { + "value": "ACTIVE_HIGH", + "value_src": "const_prop" + } + } + }, + "output_signal": { + "direction": "O" + } + } + }, + "edge_detector_toggle_1": { + "vlnv": "xilinx.com:module_ref:edge_detector_toggle:1.0", + "xci_name": "lab_3_edge_detector_toggle_1_0", + "xci_path": "ip\\lab_3_edge_detector_toggle_1_0\\lab_3_edge_detector_toggle_1_0.xci", + "inst_hier_path": "edge_detector_toggle_1", + "reference_info": { + "ref_type": "hdl", + "ref_name": "edge_detector_toggle", + "boundary_crc": "0x0" + }, + "ports": { + "input_signal": { + "direction": "I" + }, + "clk": { + "type": "clk", + "direction": "I", + "parameters": { + "ASSOCIATED_RESET": { + "value": "reset", + "value_src": "constant" + }, + "FREQ_HZ": { + "value": "100000000", + "value_src": "ip_prop" + }, + "PHASE": { + "value": "0.0", + "value_src": "ip_prop" + }, + "CLK_DOMAIN": { + "value": "/clk_wiz_0_clk_out1", + "value_src": "ip_prop" + } + } + }, + "reset": { + "type": "rst", + "direction": "I", + "parameters": { + "POLARITY": { + "value": "ACTIVE_HIGH", + "value_src": "const_prop" + } + } + }, + "output_signal": { + "direction": "O" + } + } + }, + "debouncer_0": { + "vlnv": "xilinx.com:module_ref:debouncer:1.0", + "xci_name": "lab_3_debouncer_0_0", + "xci_path": "ip\\lab_3_debouncer_0_0\\lab_3_debouncer_0_0.xci", + "inst_hier_path": "debouncer_0", + "parameters": { + "CLOCK_FREQ": { + "value": "200000000" + } + }, + "reference_info": { + "ref_type": "hdl", + "ref_name": "debouncer", + "boundary_crc": "0x0" + }, + "ports": { + "clk": { + "type": "clk", + "direction": "I", + "parameters": { + "ASSOCIATED_RESET": { + "value": "reset", + "value_src": "constant" + }, + "FREQ_HZ": { + "value": "100000000", + "value_src": "ip_prop" + }, + "PHASE": { + "value": "0.0", + "value_src": "ip_prop" + }, + "CLK_DOMAIN": { + "value": "/clk_wiz_0_clk_out1", + "value_src": "ip_prop" + } + } + }, + "reset": { + "type": "rst", + "direction": "I", + "parameters": { + "POLARITY": { + "value": "ACTIVE_LOW", + "value_src": "const_prop" + } + } + }, + "input_signal": { + "direction": "I" + }, + "debounced": { + "direction": "O" + } + } + }, + "axis_broadcaster_0": { + "vlnv": "xilinx.com:ip:axis_broadcaster:1.1", + "xci_name": "lab_3_axis_broadcaster_0_0", + "xci_path": "ip\\lab_3_axis_broadcaster_0_0\\lab_3_axis_broadcaster_0_0.xci", + "inst_hier_path": "axis_broadcaster_0" + }, + "moving_average_filte_0": { + "vlnv": "xilinx.com:module_ref:moving_average_filter_en:1.0", + "xci_name": "lab_3_moving_average_filte_0_0", + "xci_path": "ip\\lab_3_moving_average_filte_0_0\\lab_3_moving_average_filte_0_0.xci", + "inst_hier_path": "moving_average_filte_0", + "reference_info": { + "ref_type": "hdl", + "ref_name": "moving_average_filter_en", + "boundary_crc": "0x0" + }, + "interface_ports": { + "m_axis": { + "mode": "Master", + "vlnv": "xilinx.com:interface:axis_rtl:1.0", + "parameters": { + "TDATA_NUM_BYTES": { + "value": "3", + "value_src": "auto" + }, + "TDEST_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "TID_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "TUSER_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "HAS_TREADY": { + "value": "1", + "value_src": "constant" + }, + "HAS_TSTRB": { + "value": "0", + "value_src": "constant" + }, + "HAS_TKEEP": { + "value": "0", + "value_src": "constant" + }, + "HAS_TLAST": { + "value": "1", + "value_src": "constant" + }, + "FREQ_HZ": { + "value": "100000000", + "value_src": "ip_prop" + }, + "PHASE": { + "value": "0.0", + "value_src": "ip_prop" + }, + "CLK_DOMAIN": { + "value": "/clk_wiz_0_clk_out1", + "value_src": "ip_prop" + } + }, + "port_maps": { + "TDATA": { + "physical_name": "m_axis_tdata", + "direction": "O", + "left": "23", + "right": "0" + }, + "TLAST": { + "physical_name": "m_axis_tlast", + "direction": "O" + }, + "TVALID": { + "physical_name": "m_axis_tvalid", + "direction": "O" + }, + "TREADY": { + "physical_name": "m_axis_tready", + "direction": "I" + } + } + }, + "s_axis": { + "mode": "Slave", + "vlnv": "xilinx.com:interface:axis_rtl:1.0", + "parameters": { + "TDATA_NUM_BYTES": { + "value": "3", + "value_src": "auto" + }, + "TDEST_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "TID_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "TUSER_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "HAS_TREADY": { + "value": "1", + "value_src": "constant" + }, + "HAS_TSTRB": { + "value": "0", + "value_src": "constant" + }, + "HAS_TKEEP": { + "value": "0", + "value_src": "constant" + }, + "HAS_TLAST": { + "value": "1", + "value_src": "constant" + }, + "FREQ_HZ": { + "value": "100000000", + "value_src": "ip_prop" + }, + "PHASE": { + "value": "0.0", + "value_src": "ip_prop" + }, + "CLK_DOMAIN": { + "value": "/clk_wiz_0_clk_out1", + "value_src": "ip_prop" + } + }, + "port_maps": { + "TDATA": { + "physical_name": "s_axis_tdata", + "direction": "I", + "left": "23", + "right": "0" + }, + "TLAST": { + "physical_name": "s_axis_tlast", + "direction": "I" + }, + "TVALID": { + "physical_name": "s_axis_tvalid", + "direction": "I" + }, + "TREADY": { + "physical_name": "s_axis_tready", + "direction": "O" + } + } + } + }, + "ports": { + "aclk": { + "type": "clk", + "direction": "I", + "parameters": { + "ASSOCIATED_BUSIF": { + "value": "m_axis:s_axis", + "value_src": "constant" + }, + "ASSOCIATED_RESET": { + "value": "aresetn", + "value_src": "constant" + }, + "FREQ_HZ": { + "value": "100000000", + "value_src": "ip_prop" + }, + "PHASE": { + "value": "0.0", + "value_src": "ip_prop" + }, + "CLK_DOMAIN": { + "value": "/clk_wiz_0_clk_out1", + "value_src": "ip_prop" + } + } + }, + "aresetn": { + "type": "rst", + "direction": "I", + "parameters": { + "POLARITY": { + "value": "ACTIVE_LOW", + "value_src": "constant" + } + } + }, + "enable_filter": { + "direction": "I" + } + } + }, + "volume_controller_0": { + "vlnv": "xilinx.com:module_ref:volume_controller:1.0", + "xci_name": "lab_3_volume_controller_0_0", + "xci_path": "ip\\lab_3_volume_controller_0_0\\lab_3_volume_controller_0_0.xci", + "inst_hier_path": "volume_controller_0", + "parameters": { + "LOWER_BOUND": { + "value": "-8388608" + } + }, + "reference_info": { + "ref_type": "hdl", + "ref_name": "volume_controller", + "boundary_crc": "0x0" + }, + "interface_ports": { + "m_axis": { + "mode": "Master", + "vlnv": "xilinx.com:interface:axis_rtl:1.0", + "parameters": { + "TDATA_NUM_BYTES": { + "value": "3", + "value_src": "auto" + }, + "TDEST_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "TID_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "TUSER_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "HAS_TREADY": { + "value": "1", + "value_src": "constant" + }, + "HAS_TSTRB": { + "value": "0", + "value_src": "constant" + }, + "HAS_TKEEP": { + "value": "0", + "value_src": "constant" + }, + "HAS_TLAST": { + "value": "1", + "value_src": "constant" + }, + "FREQ_HZ": { + "value": "100000000", + "value_src": "ip_prop" + }, + "PHASE": { + "value": "0.0", + "value_src": "ip_prop" + }, + "CLK_DOMAIN": { + "value": "/clk_wiz_0_clk_out1", + "value_src": "ip_prop" + } + }, + "port_maps": { + "TDATA": { + "physical_name": "m_axis_tdata", + "direction": "O", + "left": "23", + "right": "0" + }, + "TLAST": { + "physical_name": "m_axis_tlast", + "direction": "O" + }, + "TVALID": { + "physical_name": "m_axis_tvalid", + "direction": "O" + }, + "TREADY": { + "physical_name": "m_axis_tready", + "direction": "I" + } + } + }, + "s_axis": { + "mode": "Slave", + "vlnv": "xilinx.com:interface:axis_rtl:1.0", + "parameters": { + "TDATA_NUM_BYTES": { + "value": "3", + "value_src": "auto" + }, + "TDEST_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "TID_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "TUSER_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "HAS_TREADY": { + "value": "1", + "value_src": "constant" + }, + "HAS_TSTRB": { + "value": "0", + "value_src": "constant" + }, + "HAS_TKEEP": { + "value": "0", + "value_src": "constant" + }, + "HAS_TLAST": { + "value": "1", + "value_src": "constant" + }, + "FREQ_HZ": { + "value": "100000000", + "value_src": "ip_prop" + }, + "PHASE": { + "value": "0.0", + "value_src": "ip_prop" + }, + "CLK_DOMAIN": { + "value": "/clk_wiz_0_clk_out1", + "value_src": "ip_prop" + } + }, + "port_maps": { + "TDATA": { + "physical_name": "s_axis_tdata", + "direction": "I", + "left": "23", + "right": "0" + }, + "TLAST": { + "physical_name": "s_axis_tlast", + "direction": "I" + }, + "TVALID": { + "physical_name": "s_axis_tvalid", + "direction": "I" + }, + "TREADY": { + "physical_name": "s_axis_tready", + "direction": "O" + } + } + } + }, + "ports": { + "aclk": { + "type": "clk", + "direction": "I", + "parameters": { + "ASSOCIATED_BUSIF": { + "value": "m_axis:s_axis", + "value_src": "constant" + }, + "ASSOCIATED_RESET": { + "value": "aresetn", + "value_src": "constant" + }, + "FREQ_HZ": { + "value": "100000000", + "value_src": "ip_prop" + }, + "PHASE": { + "value": "0.0", + "value_src": "ip_prop" + }, + "CLK_DOMAIN": { + "value": "/clk_wiz_0_clk_out1", + "value_src": "ip_prop" + } + } + }, + "aresetn": { + "type": "rst", + "direction": "I", + "parameters": { + "POLARITY": { + "value": "ACTIVE_LOW", + "value_src": "constant" + } + } + }, + "volume": { + "direction": "I", + "left": "9", + "right": "0" + } + } + }, + "LFO_0": { + "vlnv": "xilinx.com:module_ref:LFO:1.0", + "xci_name": "lab_3_LFO_0_0", + "xci_path": "ip\\lab_3_LFO_0_0\\lab_3_LFO_0_0.xci", + "inst_hier_path": "LFO_0", + "parameters": { + "CLK_PERIOD_NS": { + "value": "10" + } + }, + "reference_info": { + "ref_type": "hdl", + "ref_name": "LFO", + "boundary_crc": "0x0" + }, + "interface_ports": { + "m_axis": { + "mode": "Master", + "vlnv": "xilinx.com:interface:axis_rtl:1.0", + "parameters": { + "TDATA_NUM_BYTES": { + "value": "3", + "value_src": "auto" + }, + "TDEST_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "TID_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "TUSER_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "HAS_TREADY": { + "value": "1", + "value_src": "constant" + }, + "HAS_TSTRB": { + "value": "0", + "value_src": "constant" + }, + "HAS_TKEEP": { + "value": "0", + "value_src": "constant" + }, + "HAS_TLAST": { + "value": "1", + "value_src": "constant" + }, + "FREQ_HZ": { + "value": "100000000", + "value_src": "ip_prop" + }, + "PHASE": { + "value": "0.0", + "value_src": "ip_prop" + }, + "CLK_DOMAIN": { + "value": "/clk_wiz_0_clk_out1", + "value_src": "ip_prop" + } + }, + "port_maps": { + "TDATA": { + "physical_name": "m_axis_tdata", + "direction": "O", + "left": "23", + "right": "0" + }, + "TLAST": { + "physical_name": "m_axis_tlast", + "direction": "O" + }, + "TVALID": { + "physical_name": "m_axis_tvalid", + "direction": "O" + }, + "TREADY": { + "physical_name": "m_axis_tready", + "direction": "I" + } + } + }, + "s_axis": { + "mode": "Slave", + "vlnv": "xilinx.com:interface:axis_rtl:1.0", + "parameters": { + "TDATA_NUM_BYTES": { + "value": "3", + "value_src": "auto" + }, + "TDEST_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "TID_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "TUSER_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "HAS_TREADY": { + "value": "1", + "value_src": "constant" + }, + "HAS_TSTRB": { + "value": "0", + "value_src": "constant" + }, + "HAS_TKEEP": { + "value": "0", + "value_src": "constant" + }, + "HAS_TLAST": { + "value": "1", + "value_src": "constant" + }, + "FREQ_HZ": { + "value": "100000000", + "value_src": "ip_prop" + }, + "PHASE": { + "value": "0.0", + "value_src": "ip_prop" + }, + "CLK_DOMAIN": { + "value": "/clk_wiz_0_clk_out1", + "value_src": "ip_prop" + } + }, + "port_maps": { + "TDATA": { + "physical_name": "s_axis_tdata", + "direction": "I", + "left": "23", + "right": "0" + }, + "TLAST": { + "physical_name": "s_axis_tlast", + "direction": "I" + }, + "TVALID": { + "physical_name": "s_axis_tvalid", + "direction": "I" + }, + "TREADY": { + "physical_name": "s_axis_tready", + "direction": "O" + } + } + } + }, + "ports": { + "aclk": { + "type": "clk", + "direction": "I", + "parameters": { + "ASSOCIATED_BUSIF": { + "value": "m_axis:s_axis", + "value_src": "constant" + }, + "ASSOCIATED_RESET": { + "value": "aresetn", + "value_src": "constant" + }, + "FREQ_HZ": { + "value": "100000000", + "value_src": "ip_prop" + }, + "PHASE": { + "value": "0.0", + "value_src": "ip_prop" + }, + "CLK_DOMAIN": { + "value": "/clk_wiz_0_clk_out1", + "value_src": "ip_prop" + } + } + }, + "aresetn": { + "type": "rst", + "direction": "I", + "parameters": { + "POLARITY": { + "value": "ACTIVE_LOW", + "value_src": "constant" + } + } + }, + "lfo_period": { + "direction": "I", + "left": "9", + "right": "0" + }, + "lfo_enable": { + "direction": "I" + } + } + }, + "balance_controller_0": { + "vlnv": "xilinx.com:module_ref:balance_controller:1.0", + "xci_name": "lab_3_balance_controller_0_0", + "xci_path": "ip\\lab_3_balance_controller_0_0\\lab_3_balance_controller_0_0.xci", + "inst_hier_path": "balance_controller_0", + "reference_info": { + "ref_type": "hdl", + "ref_name": "balance_controller", + "boundary_crc": "0x0" + }, + "interface_ports": { + "m_axis": { + "mode": "Master", + "vlnv": "xilinx.com:interface:axis_rtl:1.0", + "parameters": { + "TDATA_NUM_BYTES": { + "value": "3", + "value_src": "auto" + }, + "TDEST_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "TID_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "TUSER_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "HAS_TREADY": { + "value": "1", + "value_src": "constant" + }, + "HAS_TSTRB": { + "value": "0", + "value_src": "constant" + }, + "HAS_TKEEP": { + "value": "0", + "value_src": "constant" + }, + "HAS_TLAST": { + "value": "1", + "value_src": "constant" + }, + "FREQ_HZ": { + "value": "100000000", + "value_src": "ip_prop" + }, + "PHASE": { + "value": "0.0", + "value_src": "ip_prop" + }, + "CLK_DOMAIN": { + "value": "/clk_wiz_0_clk_out1", + "value_src": "ip_prop" + } + }, + "port_maps": { + "TDATA": { + "physical_name": "m_axis_tdata", + "direction": "O", + "left": "23", + "right": "0" + }, + "TLAST": { + "physical_name": "m_axis_tlast", + "direction": "O" + }, + "TVALID": { + "physical_name": "m_axis_tvalid", + "direction": "O" + }, + "TREADY": { + "physical_name": "m_axis_tready", + "direction": "I" + } + } + }, + "s_axis": { + "mode": "Slave", + "vlnv": "xilinx.com:interface:axis_rtl:1.0", + "parameters": { + "TDATA_NUM_BYTES": { + "value": "3", + "value_src": "auto" + }, + "TDEST_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "TID_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "TUSER_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "HAS_TREADY": { + "value": "1", + "value_src": "constant" + }, + "HAS_TSTRB": { + "value": "0", + "value_src": "constant" + }, + "HAS_TKEEP": { + "value": "0", + "value_src": "constant" + }, + "HAS_TLAST": { + "value": "1", + "value_src": "constant" + }, + "FREQ_HZ": { + "value": "100000000", + "value_src": "ip_prop" + }, + "PHASE": { + "value": "0.0", + "value_src": "ip_prop" + }, + "CLK_DOMAIN": { + "value": "/clk_wiz_0_clk_out1", + "value_src": "ip_prop" + } + }, + "port_maps": { + "TDATA": { + "physical_name": "s_axis_tdata", + "direction": "I", + "left": "23", + "right": "0" + }, + "TLAST": { + "physical_name": "s_axis_tlast", + "direction": "I" + }, + "TVALID": { + "physical_name": "s_axis_tvalid", + "direction": "I" + }, + "TREADY": { + "physical_name": "s_axis_tready", + "direction": "O" + } + } + } + }, + "ports": { + "aclk": { + "type": "clk", + "direction": "I", + "parameters": { + "ASSOCIATED_BUSIF": { + "value": "m_axis:s_axis", + "value_src": "constant" + }, + "ASSOCIATED_RESET": { + "value": "aresetn", + "value_src": "constant" + }, + "FREQ_HZ": { + "value": "100000000", + "value_src": "ip_prop" + }, + "PHASE": { + "value": "0.0", + "value_src": "ip_prop" + }, + "CLK_DOMAIN": { + "value": "/clk_wiz_0_clk_out1", + "value_src": "ip_prop" + } + } + }, + "aresetn": { + "type": "rst", + "direction": "I", + "parameters": { + "POLARITY": { + "value": "ACTIVE_LOW", + "value_src": "constant" + } + } + }, + "balance": { + "direction": "I", + "left": "9", + "right": "0" + } + } + }, + "effect_selector_0": { + "vlnv": "xilinx.com:module_ref:effect_selector:1.0", + "xci_name": "lab_3_effect_selector_0_0", + "xci_path": "ip\\lab_3_effect_selector_0_0\\lab_3_effect_selector_0_0.xci", + "inst_hier_path": "effect_selector_0", + "reference_info": { + "ref_type": "hdl", + "ref_name": "effect_selector", + "boundary_crc": "0x0" + }, + "ports": { + "aclk": { + "type": "clk", + "direction": "I", + "parameters": { + "ASSOCIATED_RESET": { + "value": "aresetn", + "value_src": "constant" + }, + "FREQ_HZ": { + "value": "100000000", + "value_src": "ip_prop" + }, + "PHASE": { + "value": "0.0", + "value_src": "ip_prop" + }, + "CLK_DOMAIN": { + "value": "/clk_wiz_0_clk_out1", + "value_src": "ip_prop" + } + } + }, + "aresetn": { + "type": "rst", + "direction": "I", + "parameters": { + "POLARITY": { + "value": "ACTIVE_LOW", + "value_src": "constant" + } + } + }, + "effect": { + "direction": "I" + }, + "jstck_x": { + "direction": "I", + "left": "9", + "right": "0" + }, + "jstck_y": { + "direction": "I", + "left": "9", + "right": "0" + }, + "volume": { + "direction": "O", + "left": "9", + "right": "0" + }, + "balance": { + "direction": "O", + "left": "9", + "right": "0" + }, + "lfo_period": { + "direction": "O", + "left": "9", + "right": "0" + } + } + }, + "led_controller_0": { + "vlnv": "xilinx.com:module_ref:led_controller:1.0", + "xci_name": "lab_3_led_controller_0_1", + "xci_path": "ip\\lab_3_led_controller_0_1\\lab_3_led_controller_0_1.xci", + "inst_hier_path": "led_controller_0", + "reference_info": { + "ref_type": "hdl", + "ref_name": "led_controller", + "boundary_crc": "0x0" + }, + "ports": { + "mute_enable": { + "direction": "I" + }, + "filter_enable": { + "direction": "I" + }, + "led_r": { + "direction": "O", + "left": "7", + "right": "0" + }, + "led_g": { + "direction": "O", + "left": "7", + "right": "0" + }, + "led_b": { + "direction": "O", + "left": "7", + "right": "0" + } + } + }, + "led_level_controller_0": { + "vlnv": "xilinx.com:module_ref:led_level_controller:1.0", + "xci_name": "lab_3_led_level_controller_0_0", + "xci_path": "ip\\lab_3_led_level_controller_0_0\\lab_3_led_level_controller_0_0.xci", + "inst_hier_path": "led_level_controller_0", + "parameters": { + "clock_period_ns": { + "value": "10" + } + }, + "reference_info": { + "ref_type": "hdl", + "ref_name": "led_level_controller", + "boundary_crc": "0x0" + }, + "interface_ports": { + "s_axis": { + "mode": "Slave", + "vlnv": "xilinx.com:interface:axis_rtl:1.0", + "parameters": { + "TDATA_NUM_BYTES": { + "value": "3", + "value_src": "auto" + }, + "TDEST_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "TID_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "TUSER_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "HAS_TREADY": { + "value": "1", + "value_src": "constant" + }, + "HAS_TSTRB": { + "value": "0", + "value_src": "constant" + }, + "HAS_TKEEP": { + "value": "0", + "value_src": "constant" + }, + "HAS_TLAST": { + "value": "1", + "value_src": "constant" + }, + "FREQ_HZ": { + "value": "100000000", + "value_src": "ip_prop" + }, + "PHASE": { + "value": "0.0", + "value_src": "ip_prop" + }, + "CLK_DOMAIN": { + "value": "/clk_wiz_0_clk_out1", + "value_src": "ip_prop" + } + }, + "port_maps": { + "TDATA": { + "physical_name": "s_axis_tdata", + "direction": "I", + "left": "23", + "right": "0" + }, + "TLAST": { + "physical_name": "s_axis_tlast", + "direction": "I" + }, + "TVALID": { + "physical_name": "s_axis_tvalid", + "direction": "I" + }, + "TREADY": { + "physical_name": "s_axis_tready", + "direction": "O" + } + } + } + }, + "ports": { + "aclk": { + "type": "clk", + "direction": "I", + "parameters": { + "ASSOCIATED_BUSIF": { + "value": "s_axis", + "value_src": "constant" + }, + "ASSOCIATED_RESET": { + "value": "aresetn", + "value_src": "constant" + }, + "FREQ_HZ": { + "value": "100000000", + "value_src": "ip_prop" + }, + "PHASE": { + "value": "0.0", + "value_src": "ip_prop" + }, + "CLK_DOMAIN": { + "value": "/clk_wiz_0_clk_out1", + "value_src": "ip_prop" + } + } + }, + "aresetn": { + "type": "rst", + "direction": "I", + "parameters": { + "POLARITY": { + "value": "ACTIVE_LOW", + "value_src": "constant" + } + } + }, + "led": { + "direction": "O", + "left": "15", + "right": "0" + } + } + }, + "mute_controller_0": { + "vlnv": "xilinx.com:module_ref:mute_controller:1.0", + "xci_name": "lab_3_mute_controller_0_0", + "xci_path": "ip\\lab_3_mute_controller_0_0\\lab_3_mute_controller_0_0.xci", + "inst_hier_path": "mute_controller_0", + "reference_info": { + "ref_type": "hdl", + "ref_name": "mute_controller", + "boundary_crc": "0x0" + }, + "interface_ports": { + "m_axis": { + "mode": "Master", + "vlnv": "xilinx.com:interface:axis_rtl:1.0", + "parameters": { + "TDATA_NUM_BYTES": { + "value": "3", + "value_src": "auto" + }, + "TDEST_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "TID_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "TUSER_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "HAS_TREADY": { + "value": "1", + "value_src": "constant" + }, + "HAS_TSTRB": { + "value": "0", + "value_src": "constant" + }, + "HAS_TKEEP": { + "value": "0", + "value_src": "constant" + }, + "HAS_TLAST": { + "value": "1", + "value_src": "constant" + }, + "FREQ_HZ": { + "value": "100000000", + "value_src": "ip_prop" + }, + "PHASE": { + "value": "0.0", + "value_src": "ip_prop" + }, + "CLK_DOMAIN": { + "value": "/clk_wiz_0_clk_out1", + "value_src": "ip_prop" + } + }, + "port_maps": { + "TDATA": { + "physical_name": "m_axis_tdata", + "direction": "O", + "left": "23", + "right": "0" + }, + "TLAST": { + "physical_name": "m_axis_tlast", + "direction": "O" + }, + "TVALID": { + "physical_name": "m_axis_tvalid", + "direction": "O" + }, + "TREADY": { + "physical_name": "m_axis_tready", + "direction": "I" + } + } + }, + "s_axis": { + "mode": "Slave", + "vlnv": "xilinx.com:interface:axis_rtl:1.0", + "parameters": { + "TDATA_NUM_BYTES": { + "value": "3", + "value_src": "auto" + }, + "TDEST_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "TID_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "TUSER_WIDTH": { + "value": "0", + "value_src": "constant" + }, + "HAS_TREADY": { + "value": "1", + "value_src": "constant" + }, + "HAS_TSTRB": { + "value": "0", + "value_src": "constant" + }, + "HAS_TKEEP": { + "value": "0", + "value_src": "constant" + }, + "HAS_TLAST": { + "value": "1", + "value_src": "constant" + }, + "FREQ_HZ": { + "value": "100000000", + "value_src": "ip_prop" + }, + "PHASE": { + "value": "0.0", + "value_src": "ip_prop" + }, + "CLK_DOMAIN": { + "value": "/clk_wiz_0_clk_out1", + "value_src": "ip_prop" + } + }, + "port_maps": { + "TDATA": { + "physical_name": "s_axis_tdata", + "direction": "I", + "left": "23", + "right": "0" + }, + "TLAST": { + "physical_name": "s_axis_tlast", + "direction": "I" + }, + "TVALID": { + "physical_name": "s_axis_tvalid", + "direction": "I" + }, + "TREADY": { + "physical_name": "s_axis_tready", + "direction": "O" + } + } + } + }, + "ports": { + "aclk": { + "type": "clk", + "direction": "I", + "parameters": { + "ASSOCIATED_BUSIF": { + "value": "m_axis:s_axis", + "value_src": "constant" + }, + "ASSOCIATED_RESET": { + "value": "aresetn", + "value_src": "constant" + }, + "FREQ_HZ": { + "value": "100000000", + "value_src": "ip_prop" + }, + "PHASE": { + "value": "0.0", + "value_src": "ip_prop" + }, + "CLK_DOMAIN": { + "value": "/clk_wiz_0_clk_out1", + "value_src": "ip_prop" + } + } + }, + "aresetn": { + "type": "rst", + "direction": "I", + "parameters": { + "POLARITY": { + "value": "ACTIVE_LOW", + "value_src": "constant" + } + } + }, + "mute": { + "direction": "I" + } + } + } + }, + "interface_nets": { + "volume_controller_0_m_axis": { + "interface_ports": [ + "volume_controller_0/m_axis", + "LFO_0/s_axis" + ] + }, + "digilent_jstk2_0_m_axis": { + "interface_ports": [ + "digilent_jstk2_0/m_axis", + "axi4stream_spi_master_0/S_AXIS" + ] + }, + "axis_dual_i2s_0_m_axis": { + "interface_ports": [ + "axis_dual_i2s_0/m_axis", + "moving_average_filte_0/s_axis" + ] + }, + "mute_controller_0_m_axis": { + "interface_ports": [ + "mute_controller_0/m_axis", + "axis_broadcaster_0/S_AXIS" + ] + }, + "LFO_0_m_axis": { + "interface_ports": [ + "LFO_0/m_axis", + "mute_controller_0/s_axis" + ] + }, + "axi4stream_spi_master_0_SPI_M": { + "interface_ports": [ + "SPI_M_0", + "axi4stream_spi_master_0/SPI_M" + ] + }, + "moving_average_filte_0_m_axis": { + "interface_ports": [ + "balance_controller_0/s_axis", + "moving_average_filte_0/m_axis" + ] + }, + "balance_controller_0_m_axis": { + "interface_ports": [ + "balance_controller_0/m_axis", + "volume_controller_0/s_axis" + ] + }, + "axis_broadcaster_0_M01_AXIS": { + "interface_ports": [ + "axis_broadcaster_0/M01_AXIS", + "led_level_controller_0/s_axis" + ] + }, + "axis_broadcaster_0_M00_AXIS": { + "interface_ports": [ + "axis_broadcaster_0/M00_AXIS", + "axis_dual_i2s_0/s_axis" + ] + }, + "axi4stream_spi_master_0_M_AXIS": { + "interface_ports": [ + "axi4stream_spi_master_0/M_AXIS", + "digilent_jstk2_0/s_axis" + ] + } + }, + "nets": { + "sys_clock_1": { + "ports": [ + "sys_clock", + "clk_wiz_0/clk_in1" + ] + }, + "clk_wiz_0_clk_out1": { + "ports": [ + "clk_wiz_0/clk_out1", + "proc_sys_reset_0/slowest_sync_clk", + "axis_dual_i2s_0/aclk", + "axi4stream_spi_master_0/aclk", + "digilent_jstk2_0/aclk", + "edge_detector_toggle_0/clk", + "edge_detector_toggle_1/clk", + "debouncer_0/clk", + "axis_broadcaster_0/aclk", + "moving_average_filte_0/aclk", + "volume_controller_0/aclk", + "LFO_0/aclk", + "balance_controller_0/aclk", + "effect_selector_0/aclk", + "led_level_controller_0/aclk", + "mute_controller_0/aclk" + ] + }, + "reset_1": { + "ports": [ + "reset", + "clk_wiz_0/reset", + "proc_sys_reset_0/ext_reset_in", + "proc_sys_reset_1/ext_reset_in" + ] + }, + "clk_wiz_0_locked": { + "ports": [ + "clk_wiz_0/locked", + "proc_sys_reset_0/dcm_locked", + "proc_sys_reset_1/dcm_locked" + ] + }, + "clk_wiz_0_clk_out2": { + "ports": [ + "clk_wiz_0/clk_out2", + "axis_dual_i2s_0/i2s_clk", + "proc_sys_reset_1/slowest_sync_clk" + ] + }, + "proc_sys_reset_0_peripheral_aresetn": { + "ports": [ + "proc_sys_reset_0/peripheral_aresetn", + "axis_dual_i2s_0/aresetn", + "axi4stream_spi_master_0/aresetn", + "digilent_jstk2_0/aresetn", + "debouncer_0/reset", + "axis_broadcaster_0/aresetn", + "moving_average_filte_0/aresetn", + "volume_controller_0/aresetn", + "LFO_0/aresetn", + "balance_controller_0/aresetn", + "effect_selector_0/aresetn", + "led_level_controller_0/aresetn", + "mute_controller_0/aresetn" + ] + }, + "proc_sys_reset_1_peripheral_aresetn": { + "ports": [ + "proc_sys_reset_1/peripheral_aresetn", + "axis_dual_i2s_0/i2s_resetn" + ] + }, + "axis_dual_i2s_0_tx_lrck": { + "ports": [ + "axis_dual_i2s_0/tx_lrck", + "tx_lrck_0" + ] + }, + "rx_sdin_0_1": { + "ports": [ + "rx_sdin_0", + "axis_dual_i2s_0/rx_sdin" + ] + }, + "axis_dual_i2s_0_rx_sclk": { + "ports": [ + "axis_dual_i2s_0/rx_sclk", + "rx_sclk_0" + ] + }, + "axis_dual_i2s_0_rx_lrck": { + "ports": [ + "axis_dual_i2s_0/rx_lrck", + "rx_lrck_0" + ] + }, + "axis_dual_i2s_0_rx_mclk": { + "ports": [ + "axis_dual_i2s_0/rx_mclk", + "rx_mclk_0" + ] + }, + "axis_dual_i2s_0_tx_sdout": { + "ports": [ + "axis_dual_i2s_0/tx_sdout", + "tx_sdout_0" + ] + }, + "axis_dual_i2s_0_tx_sclk": { + "ports": [ + "axis_dual_i2s_0/tx_sclk", + "tx_sclk_0" + ] + }, + "axis_dual_i2s_0_tx_mclk": { + "ports": [ + "axis_dual_i2s_0/tx_mclk", + "tx_mclk_0" + ] + }, + "digilent_jstk2_0_btn_trigger": { + "ports": [ + "digilent_jstk2_0/btn_trigger", + "edge_detector_toggle_0/input_signal" + ] + }, + "digilent_jstk2_0_btn_jstk": { + "ports": [ + "digilent_jstk2_0/btn_jstk", + "edge_detector_toggle_1/input_signal" + ] + }, + "edge_detector_toggle_0_output_signal": { + "ports": [ + "edge_detector_toggle_0/output_signal", + "led_controller_0/mute_enable", + "mute_controller_0/mute" + ] + }, + "edge_detector_toggle_1_output_signal": { + "ports": [ + "edge_detector_toggle_1/output_signal", + "moving_average_filte_0/enable_filter", + "led_controller_0/filter_enable" + ] + }, + "led_controller_0_led_r": { + "ports": [ + "led_controller_0/led_r", + "digilent_jstk2_0/led_r" + ] + }, + "led_controller_0_led_g": { + "ports": [ + "led_controller_0/led_g", + "digilent_jstk2_0/led_g" + ] + }, + "led_controller_0_led_b": { + "ports": [ + "led_controller_0/led_b", + "digilent_jstk2_0/led_b" + ] + }, + "Net": { + "ports": [ + "proc_sys_reset_0/peripheral_reset", + "edge_detector_toggle_1/reset", + "edge_detector_toggle_0/reset" + ] + }, + "digilent_jstk2_0_jstk_x": { + "ports": [ + "digilent_jstk2_0/jstk_x", + "effect_selector_0/jstck_x" + ] + }, + "effect_selector_0_volume": { + "ports": [ + "effect_selector_0/volume", + "volume_controller_0/volume" + ] + }, + "effect_selector_0_balance": { + "ports": [ + "effect_selector_0/balance", + "balance_controller_0/balance" + ] + }, + "digilent_jstk2_0_jstk_y": { + "ports": [ + "digilent_jstk2_0/jstk_y", + "effect_selector_0/jstck_y" + ] + }, + "effect_selector_0_lfo_period": { + "ports": [ + "effect_selector_0/lfo_period", + "LFO_0/lfo_period" + ] + }, + "lfo_enable_0_1": { + "ports": [ + "lfo_enable", + "LFO_0/lfo_enable" + ] + }, + "effect_1": { + "ports": [ + "effect", + "debouncer_0/input_signal" + ] + }, + "led_level_controller_0_led": { + "ports": [ + "led_level_controller_0/led", + "LED" + ] + }, + "debouncer_0_debounced": { + "ports": [ + "debouncer_0/debounced", + "effect_selector_0/effect" + ] + } + } + } +} \ No newline at end of file diff --git a/LAB3/design/lab_3/lab_3.bda b/LAB3/design/lab_3/lab_3.bda new file mode 100644 index 0000000..c595aff --- /dev/null +++ b/LAB3/design/lab_3/lab_3.bda @@ -0,0 +1,42 @@ + + + + + + + + + + + + + + + + + + + + + + + + 2 + lab_3 + VR + + + lab_3 + BC + + + active + 2 + PM + + + + + + + diff --git a/LAB3/sim/ReadMe.md b/LAB3/sim/ReadMe.md new file mode 100644 index 0000000..0f8aee3 --- /dev/null +++ b/LAB3/sim/ReadMe.md @@ -0,0 +1,3 @@ +# Placeholder + +This is a placeholder. \ No newline at end of file diff --git a/LAB3/vivado/lab3/lab3.xpr b/LAB3/vivado/lab3/lab3.xpr new file mode 100644 index 0000000..4045a09 --- /dev/null +++ b/LAB3/vivado/lab3/lab3.xpr @@ -0,0 +1,327 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Vivado Synthesis Defaults + + + + + + + + + + + Default settings for Implementation. + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + default_dashboard + + + diff --git a/vhdl_ls.toml b/vhdl_ls.toml index 2653b30..3d2c067 100644 --- a/vhdl_ls.toml +++ b/vhdl_ls.toml @@ -15,10 +15,10 @@ lab2_lib.files = [ "LAB2/sim/**/*.vhd" ] -# lab3_lib.files = [ -# "LAB3/src/**/*.vhd", -# "LAB3/sim/**/*.vhd" -# ] +lab3_lib.files = [ + "LAB3/src/**/*.vhd", + "LAB3/sim/**/*.vhd" +] xpm.files = [ "C:/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_VCOMP.vhd" From c99622188dd7a63af45885190fd7f4711f958a1e Mon Sep 17 00:00:00 2001 From: Cd16d Date: Mon, 12 May 2025 14:38:11 +0200 Subject: [PATCH 3/3] Update design files for LAB3: reorganize components and adjust simulation settings --- .gitignore | 1 + LAB2/design/lab_2/hdl/lab_2_wrapper.vhd | 4 +- LAB2/design/lab_2/lab_2.bd | 16 +-- LAB2/design/lab_2/lab_2.bda | 12 +- LAB2/vivado/lab2/lab2.xpr | 20 ++- LAB3/design/lab_3/lab_3.bd | 62 ++++----- LAB3/design/lab_3/lab_3.bda | 12 +- LAB3/vivado/lab3/lab3.xpr | 172 +++++++++++------------- 8 files changed, 142 insertions(+), 157 deletions(-) diff --git a/.gitignore b/.gitignore index 977e5c0..7747d92 100644 --- a/.gitignore +++ b/.gitignore @@ -75,6 +75,7 @@ vivado*.backup.log **/design/**/synth/ **/design/**/ui/ **/design/**/hw_handoff/ +**/design/**/*.xdc # Other files **/test/*.zip \ No newline at end of file diff --git a/LAB2/design/lab_2/hdl/lab_2_wrapper.vhd b/LAB2/design/lab_2/hdl/lab_2_wrapper.vhd index 794efb5..f0aac0e 100644 --- a/LAB2/design/lab_2/hdl/lab_2_wrapper.vhd +++ b/LAB2/design/lab_2/hdl/lab_2_wrapper.vhd @@ -1,8 +1,8 @@ --Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2020.2 (win64) Build 3064766 Wed Nov 18 09:12:45 MST 2020 ---Date : Fri Apr 25 22:08:38 2025 ---Host : DavideASUS running 64-bit major release (build 9200) +--Date : Mon May 12 14:33:04 2025 +--Host : Davide-Samsung running 64-bit major release (build 9200) --Command : generate_target lab_2_wrapper.bd --Design : lab_2_wrapper --Purpose : IP block netlist diff --git a/LAB2/design/lab_2/lab_2.bd b/LAB2/design/lab_2/lab_2.bd index 556d62b..5c8e70f 100644 --- a/LAB2/design/lab_2/lab_2.bd +++ b/LAB2/design/lab_2/lab_2.bd @@ -1176,11 +1176,11 @@ "system_ila_0/SLOT_2_AXIS" ] }, - "img_conv_0_m_axis": { + "Conn": { "interface_ports": [ - "img_conv_0/m_axis", - "packetizer_0/s_axis", - "system_ila_0/SLOT_1_AXIS" + "rgb2gray_0/s_axis", + "depacketizer_0/m_axis", + "system_ila_0/SLOT_0_AXIS" ] }, "AXI4Stream_UART_0_UART": { @@ -1201,11 +1201,11 @@ "AXI4Stream_UART_0/S00_AXIS_TX" ] }, - "Conn": { + "img_conv_0_m_axis": { "interface_ports": [ - "rgb2gray_0/s_axis", - "depacketizer_0/m_axis", - "system_ila_0/SLOT_0_AXIS" + "img_conv_0/m_axis", + "packetizer_0/s_axis", + "system_ila_0/SLOT_1_AXIS" ] } }, diff --git a/LAB2/design/lab_2/lab_2.bda b/LAB2/design/lab_2/lab_2.bda index d2be325..8223b12 100644 --- a/LAB2/design/lab_2/lab_2.bda +++ b/LAB2/design/lab_2/lab_2.bda @@ -21,22 +21,22 @@ - active 2 - PM + lab_2 + VR lab_2 BC + active 2 - lab_2 - VR + PM - + - + diff --git a/LAB2/vivado/lab2/lab2.xpr b/LAB2/vivado/lab2/lab2.xpr index 17e57a7..51e444c 100644 --- a/LAB2/vivado/lab2/lab2.xpr +++ b/LAB2/vivado/lab2/lab2.xpr @@ -55,13 +55,13 @@

Ph43Q ztXzawVgReWxkgyW6}`QAy~>k0BCDEopvBN6nbzKhWt96~IvKL0dme+Q+>E~_sqYr_ z3`i)NOA3&cW#b-iGGyD~W~0z%+&((Ljb&0IUE`%O%a?yBTKy@lK~czFe(Vks5X-+^ zKHnweh5!Vafyq}=>-DZa8YbP)M}bw(fGankFtMd);!szhdH~bkT#KHXj0U+@DbxH3 z#D(B#Z@^wtni5UqS$q8SZ1lkL=}dmst8s4NHUKl-VeWu^Rqt$R{V9yg`9v#1n^leM z?fu2fDo<1Z+~+1UhQo^#-BV}Oz+ua2pKJ#l6o936Mil+yKB|^w8+j)vNfY24US$|MnnMZz}5|~tp;k{6!w+Z~+=9z&ilQkh; zwXMzO=2q7xfG49>2=6k#A+l%qm_ML$iSDZB_-p4z-vbVarxKCbCp3bZ^5XC*N!29j zCBRV4r`Q(Ir29Ubhn*&M{Lea=?_TAvKF{WK9PFvyo^fGW^sk!0N>!n{-&0*w)~i%B zY!xd@1~`Ywokz5DJQ!|g{W#fz&w%@kj`8xdPSMlcV6T95;*IYrslyNkj{=LJD1EhrdMi4diu_SZ8ux{2(nNkz3u;yqq~hOYk>49u?=yyFCj4U zI}?Tz?UEu80thKRBtL4lX)20}uKGIbX??>cOmhK`Tn8+xq0C<0z?X zG1`wLd4QRAx2tbDA}2LFp4<7r8>)#}Bea5_@p83JBf7drYJQ17fBKR>SkgO{CTHc_ zIrDJ_8}=jL)ypHqMlE}{NG#FRno(=Ulk>6F(r$B+h{3{-I=LT7g^d;4_)}LWGEO?V z(Tfx8BoIHl$?Y-rw<2|nP^@1%D%>OKqoZ}7$ASBDN!g?#iO#PL(#T4yesVX_G7?X& zy#q`#o4Pt}T=)`mk|3=8!(oaaCJ%G!&?6yl6e(iYmUA`4j5g{}F^^GB$9G3F-7b&* zgnul}LDP_fW+P_k9gCeEh_)9~zi$BP9L*10a^ZmeK`(@d1u~{_VaXX6LZR#aZQ!G5 zy9~&LCsth^Ys1u!g6&-42;^_-j;tN3^gTUVXAdsj@V>`<-i%*eIMY=R*#$| zbecON!&3I{lymXhjj&0{qH?6djX{VAD{0E7TK$xac5;{BNRUGG+&3#(!mKhh~BFmv);k?M#8WelzmC^Y10~@qS_N6 z$*8>b=BxMOz|@|rDUyAuCh@PvZ4o-k>!feaHc^aP8fB6wV)CZ!YOE!)$)+ zy}6Xp+|7aihvwd^RoD6!EKqMea&VvHoQ0{P13wP*zr&yOahd!-SSBBr#-H6F{eLJ1 zejMD7gZp1xnKSN!kJ#@c_WLsjLwt;T|D9ts{++!C?CHAF{b1{<)_`x5!hvHbf?f`v zBi}bly54?J>+I?npW{Hy`VW7+U?;7+gM-}zT$Eqy6$7U@{CFSmgX@7mSpfX|c9Tha zAG!PLj~+L7x*gjd%U`Yh^vYxibe3EGRP{wg#hjBw7ArrxgPgk`aG~;}m*BZJM%5Gg zK_>09yt4933&s*P{NCe7i0Y#W@|>-T+NzJ_J$0S$!_@!#*SbcbwuTsK;9ErzdGW{S zlp-#$9q>6Z(5zB=>lXcBPf}*v_wn%_Y0aUD=!`m>MHp(3joAwqb!AsQ{d%^GpRv7T zxnS+OL$|Rub=&+ehx3i2OfwcXQd^|AIyw&Kzw@^`H#)Hqf7}Yuhj7J2JvxeoXyH%( zcq+nD)$3(I17C#`$=)@uB`34TbTvM=2kCEe=9K2sFL;q4(tg+DD_dlL;8TQ zlEL5>4NkPP?{N*&7@pWHzIc)H*P6D^`L!M3wuRVZch5M=fK3t!JHBZ1&6IBQEOgd) zIK0jcy+eP`~iM|ZQC z1!q>2)3UlqCqMTh1%9zXgZh}p#7g!uG1SyN&&ew%`h@|SR9x{bMopctrwF`mt^J^C zoeVa-pYD#ql7X|NgbPMae9!#*n{87E-1reBh?(bb7Obi-kp;2y{gN)65NYc(lg~gr zX^_T1+Vk<~M_tX`RQQgzuhytqxq7yh%k-z0w(o4`Z=d?;fALxz23~PY|9yPw>HcXd hKJCeb@)Xob}Er zjf9l6gm(?^d(Qv=-Y@sd{Q$W4UVH78zj&T!8SzL{iIf;ZjE9Fus-g_j#=|3s#lyRL zLPQAeG*{a`2Y;@(YAY$=mG<9V0~deU$ZN>s;g!F-ab`{cuCG5+HgLtmqj1ChT$!=H z=LK#ux+&_rJ#?`$cSAWj-q%GrSm6os3-U|wi%JLx+!qj#fQm>6@V_H9(#6C3)C;qY-HAkr@eZ5ISHY;hK0o!{r+gv@AVvNDJ6o~%$ z#dQ4x;h&KIx}gw$=XC`4|0%*M82f)p1*sZxO`mLjS1zpoj!nJ5kZ z{Umq-Q?+Ee@lO+QC6y!8E&u!a7H91LS0gOnEnj~*#(A>aac}d_{@U<#K}NuO!}+1n z(b4SlNh!wQ&bZc_lD!Vq(;kP(E>^39S%-#kd=ef%S4R!)O6I1Y7k}BRbwmq~63txy z=hN9tu@w;{;QohmYK3sIcy5CQDqiEnZ?ICs%AyYj75ROXR&?Ee?gbpn|7QpgU7h2w zcJ9^Dl9WE&TQbLU_($s>%GiWsJ!kzr$LhRYQ`oyj2BeQtPmdR0S^cNy??{&ae;T+v zLW`Pn8(P6$DV(G&~rC(sC*~6KlpGO)U+9Jaq{B{>Yve3h$Fb1HjX>tf*12)_og0{ z)hz^^EK_?P&xd{CHL3j!ZVb7v4mJzhe(NNq6>O{D>zA|^si^yRwdl!QuBABQ-0~(E zgTT|^q|Uw+QP1fwo}ObSo@tV6xo@Xte783lyqCyDoflw79rvF9*W&6X`rp?xo?q0Q z?hk8C{~WKFc4|CdS^xHaan#63dbsNQ(;L-m`6-5`mO}>IMpeD%=SQ>otU=0FRn9xj z*S_eL7}lt~Wvd~$K~+6Vfe{1g0wr# zxwooyqIx#KF6hu(C&@uGLuR`EWF@5(w4yZN{I_F<|6w^1QX5rV(Jvt~mqB<)`Oi#6 z{_iKtySY9jn%6ZG(&_JSPuP{wbNoqaq-jp9kD}%i5fV3hEn|VrR@~}h&u}|GJ@TYB z^DFWO$sEtxfe@PHK_%r9;RPjI2JSCH3CowwskZ;~EwG`-~LubF^@k;m5ip}STC>=}Mf zmpc;$EQ-&PL>!W7TTBQ3if8uRK0DcSp!4IMWJb4W$)4ZlW|c~;jvMZZ*#;Zk(V7?0 z#7cg>w@M{TKG*qRePmNcAz-D(^~Xd_s~ySDlBx;S*nbToELbq1|LR-w_GsFzq7S8? zI21@A=s~_-EGT31WzTk6iDNqx6PG&jQk#!inLMW5$K0>o zefDC~JdEBxQ?!cx7ukwKrR~U18jID?=AA!aAl||`e*~dLCOQd!KG^ut zFS#xCxXiTvTQ#Is&?@1XaDz7?wLQ)e?{3o$q4oy{uN#BM*ndz>aNa&{ADzenfg*I=o> z(kC)m3>#AYR)}bIXgohGb((F6?+=cE_qg_pcSQgEk@0+naNXk1KWl(!_nvnI>@Z)8 zmoil0`z^xWdkhO}j?uB|mWE#RG6Q9cf5O}~lA-U?ICcCC=E}A$CnHm6a?ue)kE6|d z2lyJ#hKBSW2Ab);DytuC>;E$)&*BmiiuTiMap#j-~gUa^Cu~-Qc>|@v2&vCH3Av zyE*vymEgPnmqgIox0IZOe04i7H^wUpmc{c@TqXF^`B_$rdhs5^?l^v)4^?D~j^O&c z=)3aScEf3DNtnt@g#Xt&kM)BgaEeoYk{r?O1MnHB*{-$BZ6~J zJ$5&YbK;n3;?wyWj$3}Q@#%kTIzQVRaNH=Vu7X~Z+{C<1k#H}1M{m#W0IdQV15|I<(H4|+V+n^lvwCLZHovm3jV)L~-$NG|e)IBZ9-zW&U4ovx|ng>i_IFsP@l!p2Zlz!p1A+}BU6YmgMS z{$v(&k~mgj-RDwaGa%yHQub;W>Nq7PlnsxjF=xAFl7UUsr+yfm+7DCk`Cbq~$vz?X zV%{N?J>F)PW+`e@P>U#*;T}T$B+%dn?5#_^3%&C>LgY#R)BC4x1V;AdAsR9-#ZATo zu~IHeoiC9qVtz1pFUgPIw$ojsb9*~z`v%*-xY15Z@e>H`en=Zh_rhWxBzU`*K($! z#J#{m>!#am#I!m|i!clN9zp4g~3slGW` zUA;9;b6rM(%#|Q$0XScb!&5?YJSyB5 zdbigmZ*x<3tgc!8Wp!FH<1EtPjN-3|ALvbp1B8N}&Ui7;x ziN%VUOBbu2;}b9KbcFTsFYZ~LhPmTiN%K((fAu(F@~*QE?jJNlA5HUr*8E5>b>A4R zB9)CDTK&|+ThY06?VjIY_uqsjORi;&7e1%P+G)qjHDz^R2?+{V0e5@!cU^ zo8ozG}e3Yh&+T| z!tLYTWEy$kQNr@7njX#jb9EZ19&LdgHOh1&DH)1-if93mS#C*2e=6&zSMpo@jChNI z%?JDi{I4ROv{|xk60+U?*a*{NxA?ukX8rQi@gPz5r&_h+bg`s}x15>JT3+1rNXV_V z`C1lP-mwQOjN~Q8b}&*Q?CXa?x5pTliF+t2dw=b$6=WEW%SYmydEE8eY1Z@LzfWg% zZOjSvBCuEHZG7PQvhOVwjU@S3#D|+80IzhxfB2tNFFTiG*xy6Ivo6-C+R;QvHGK8! z>*eRSvm;gf<;R>z3}-9|-;{ggnsgQB*lW);{fm@M{B)$s!Dy_^Ox6=baYhLn zJFtX}8+>(xLpDSR0}jW(I5u1zC=JvtIngq5zlnd{e>)nA5tMVfM)+*+Jtc=^r>y#T zIS36?!Vtbw&K(Bh&g<_B49Ckq5gISLj@xvT3~j)ANVLTsSr0y8`*-YPwYo78(d00w zd5K{q_tV!~6K?m|A$$g+F4+g2&n0a7@AkMxtVJ92te(S&EGC9bk~w}1ZIwnl_x?4r z`n0k0z4}cXFf-wG*0Qg}7KnrfRW=!6R`<=uSjNUf=^T;RuQYA;3A$8Etn7S(*v?0J zs&~}9DR1bVa{u3H9qTe!CCL{Mm`Q(p``rp#XChE4f)_AW|!J+UL33 z5i-E)lPrjDF_}QCzBy4@QP&hl3vKu}fmK*~`!uC<=8U8NHk;Hv{E)4+%Y@)?!mjTY z6Ez1S#7*VscsW7}&dkU0$9st_xykp~>eSuFcce&o zGWckRiCTU4SFu!xPS4#Qfz`2`S2DKv(&m1<{;iYP-1l`F<8SQg#x;!`Yw{GAhVT?? znk%)6h_hp<`AqE*^)Rksp(~V0x9`N!=f1}4wHVSj_FjIs1=5qsgDwsA@xbdOewtiw zP{v2b1I9;`Z0aAazVimnlb`&&hW+cpg67n(L5}#|VVa=D+3{{wbVhi3z~Q(}NpI#| z61$Vcf9DQS!#Nz=$&gJ%~0zq8#A$EFuo9Os$>9S1YzaS=aNmhnV8 z5xxlaj{k>}DlTP&yd{hHYg~pfsl;~ZJoVYRYFiWLul)1*;pAqm9|)0(f9{YzT6^-v@8+0f#>3k}uVcb65aVqv>4Ug;S4f6B zWWsXF1z!0lHMbq|zcC1C3nwSABBX4Gl4||qRDy4@%GdD6cG*Q<_x)}jWty&flbAx+13 zotP{RWA2I%m3sclve0}hgHI_=g0_jH-hVPDJ9&CAW>(VcwGhEh+)a`{-x_A*R`GAz z08@D_N+~{dAfCc`2fNI#->Ifb?W&&SK5D?O|4UXsv{2fG*h1uOcMIP`RgzyxGhmx< zt>(O{I;eMU^D%GPKDP-g;8=^_-K)z2hyz)TX}!<*g}0D6Ne|Ti`=B~=W5&FL-H`4~ zzIp`jy%~5FC3(H^(U+f}qHq936b%L!R7uXgz~>&Q9T0E8rO4yXxTLeP%bmzRi12=Q zj8MN=YpDu}EzxsRF8$|VnmxvhUD0-6G04YQ522o+t8GWRnbJVRj-H?u{3J5^(-EQ!7 z&Vdm&ZUK@yy~cU~KfDyrX3^h-OrfE#`EGYot9m}mp63@m8n?+vMH4o1d&A8a(V|u1 zk|fl;dSl^?$6(!ZW#0#P@2M0OMXxeBC7p4ks@?P#%IUO3YM1D7`f)ug# zTYE`L)gB}!zapOQJmK{w)d@wyv#A4b->$RyLL*Ak;CC}atwA^D2EJ!(Puw>;zk7%8 z&YN$yV3-QHPP9&pWunfHhHpy#e9}%msYsbEwMeRSZ@fpi|AmKKje@)ujQ>XiALZE} zb>@J@ISJ;giGopQonUqIP>v;wMvZGlTf4lgF#E~8d}|rcilpZ4x$w^(cCObAesiw+ zuTK0rZ~<30^pA-^dRIqGb5FoW6B6@Zf;uAP5$ZK83<3E#1Ef=5lL&EW{_*KkBGc#R?i=&0!5l`9L|b6_R}6^| z9`|X2<%oy0i5a`8bn?}meYa`~zN}_P88-%;zpP=Jjf+bC7YevEUwSujm=-IXke>cu zbH)gxW#?lPgvYXh{8h2QShFsQ}qEoG8% z=h;z^LF7BKlh<8=##5=COXgNP3XE;t%O%w_E=~<6`5S{-L8KQCK!c@zbuw=O$aHAV zL?KRKtL6uTZ-e;h&x#;%h44>TgHB|BujYt+KVuBLOVN8LscF}CwAf&WmjM8~;S>+q zT)y5nWBZk#;<(-b(#yHDe@%iOTfNVLi|DkQ&i}hGh-d8^Oa4<(Mru+iV_OGdPk90! zVYc*U@IVI#aBy0YUP*FnM4kP(-~%l`gKYTwPxyqpgmm_g?Eec!UdDMknocvn-Hs=?D#3WS^O)9shG8V!*FOW}%b$39Z&~paKs3_{ zW&s9FhSRm~Jc_D`zp@2>3F)XH_owuqpC1I({6UyPW2ieyFv44$P`yx2KFAou*b@~b z0G29T#MQmA93MC<)D$K``Y|oBQB2u;{_0mYcF(oz4J&K*NSWE}y1jXK)ZxjG3;;!( zmVT?G_xNreQv1kY2!Pq3mZ4FPDQBe{GDJG2m;ss7Ucwi=*BuJdJPfnajZHBC7)>0| zrhp7Yd?;)1;Xjh?jtbpw+p||e;w*1o2#Jm*!+;*1YVJl*=xXIpe*5qIB+fW|CYE#e zh27Z7xA!^;M_bdrCre##Z*;$fS$$nOzf2f4#pZ)^CkHXV3QA4BOgQcTtQeSZIs}f1 z`Ya~kH$c4|lGNuJTB-mjeDXw-(Rt#<6?}Ey`4H-t;vsFQ>KUI8sMattvX$Eu1qa)+ zvltK(2_)($r;dUEa~S0IWl>i7BBz<#bOXSZ~|B2h+zQc5DuIA zZdP8l2{hMfVilVcgliKH>qY@*aUItt6Hp`{O)n{eYm-Nx@L1v@SR&VORp7k!a66ig zx|fqh^UHeC2X#8x0Kd!cc0VYCq9v^3#5N-zl=5$)MQ4aED+73ZJ`Q3A?coIzhM6#O zs=w3AnG3K#R3*SH2wjP5_J`DlZQrtK;CfqOqcuDOR?=l7Srd$(PJl&DdHKELg(wZ* zqiN5}CQJM5^P_#AgYRWW@d|F%AI;pj{GQi*NWMmrAnRr0n+d2B4=+x)FB@O$x$OvX zPvvkn1UZNL>0A)m<$M4XuK@*8aqxPJzeJjd|L=Y9?H_5LriKo{eS&t^x~;v@OA&Xq z1|0?dxL^dpY@iEEAZQeJIQ%(&In+2v5F_ro@)vHL`ejb-hh@Niz3hd7O`6pNfFN$; zdLan_6Ac{ia@mVC33ow}>R&-(j(9{rE^FFV}LS&-N+qzXo5>8+NC!+c8!s995DEf+HZ`pEzq4G;kJ z5B|GfslkJ@J>AqQBsLqg5~O$h4!a9qFB@{WIhk^}oL~m#CSrJ^(#~e$v6(;UF^i_% zWK{`DDhd`c93y+-HQWFu7S~0?6cGpYQvhdOwy(sfTEqct{>!=+V!5^Fq-)wHg>vf3YfN}LttYs zSA$^I_t;LW2;gxhSczW&kMY#%VchN54@od4b}rbVX`D0#S>{%Z-v4BFhk=7C+QKqdoVP==Xj_mO4n6+o(dQDn~>`fN7+td+DJa*_@<`o>lCwra33 z7rL+oY(;?wn*jC35cTUqu#q^I1cx+U85;nIxE0o~1_}Feur)2IH~BP*XG+h=;qOcw zJQ>ia3n52xZlE;t`AX^-VygRlCaSpgZ|WCCf&N{_gQ%3aRAO$^U+k@*W;X zzx*)u5b$>f;yT9Yy%>&1-JiuAel2xv0a~L|Kd0fL_+}+o-(PS*fA}Fl%4-2S#BYIK zwccWP#u=VP2A|ceXlN<1#n{v6->GA(-hbz*zGIq5acWp2Bx6V| zufoCP^+KAyAPS#)&G=Aoh{H)_-12Q4WHIfLXUs60dg2@dAP4o)Gk^C!w4hx-cAhxi zU7QB^XL@-9dwP*#`ts z%t(6-{YJXqudZm}Ew#shEG!nW?xi{UrlWNS88Y}OFxK+YeTV|ygH{j2U z4?GqOH4B{YtpQHAA2M@&V8$H%>tslcuTOO`K=~x(u<_6Nfb^fUJp#zUKDKZ>x(TMK zv>-pq#w6cu!Q!&el$-j=Lo9Wul`W=S zNtkJ;1;TR%+YJ#mT(5^)-Y<(dzF~(e%At^%2Z}PW4U27=GTV|P-)j||1Jg})(rK5? z5*Yv64QHGzW8>@CxG0hDk098TE)0$emSZNqjVp}(t;NDw7QszmxIT=+Tl#%0k zWb6TSWeElnyNHYka~Qq})BwwNW%VA0Xk~XyTTmkkK(K?qa4B*L+)@g%On297F0cof zyJ-yMhz}#<|2xs6>B=>3Ghh*hY+)BU(|&t>`8ZI%2Qc#I7)br~!QY3Qb`!v7SNjE# ziYR};`d&f*mIPFV*9pLx67}c+uZ}wZeIVojERadM*D5F?iC^;k&qe-b#ehr?C7A_E z*e57++GnFAALq%zosZy7MkXl@e-j2!OLpE1S+XT?Cu-;)^9k1pL66U?JN4;*aG;IV~~OjlRxeKBaL7J#89TN zFB_#_)F%OWNsMW0Js<|Jjzl8yuib1Xm0)x#<$Qm2v1{P0FEIwk(uaG1bUwMNhxf~Eq?Xif8?hl8A{>bX)n%Y?aeAPL z+v?yQ3?N^N!aV?tm{K*YDR~D8tmQHB1VAcHV7?&DSD$q5pud6XVDPmkC;<*j5rf04 zF(6{jlA{x}>?Ae>Fi<3tOnUz{>R@AxZxEP|x|e!iJT{pofKj)f0M5=VQyL^o50Ieu z8swY6I|`HlB!d7lBd7a8r$Cb&{in$_a7*5ne+WM{b&P7G)bJV2*aV&GEJl?oBtsG28-K7I{SIgBFx3LWG72Z&Au6Z3u7C zBG7`8#U~8Oal59A40lF8D+LSBK78vNIrf54d#N}OeUM}sf@L~RuLSnaP5qTCJg6rhM>ujP%jV-BG2S<0$Ej4;TAU_q!Ne)D8zFRDHo{_gpfcJe&B#U z${R-Bk5UNI2bVP*Qv-v@r6^GSTo^p(X`C3E5DtY1udgaASHCFuC`@E55Rj>GPb|g7?$l)1t&Eq9IhS zP`JWGkQ-!;GW)gl6?7a4XxI8KGS@qtq97K26^)?)H-_{wW80ZP%achkfb){Zg{g4E zo6sRQ&@E;BmvF<^9L^I{HLe=Wq$(h;1|C-gjbTSDU|z}m?t~5%gJK}ke>kkXLBXn- zB4iVT%8iYnDCkR2j?AZ9_8qSJk#ug_P={GC`N{*aOd5yRx$`{Kk4`RW|EyeYwZk`^Tpx! zbc`7t4NGc!vy6_?rB|S}GsD8Myf49WD_uaQdLqA_4;E4mkwVi}s*~QsJx6pWqiy4& z+z#%F*eAND@x-*(+!;Bp12}AhT#h|F=Kw@#+d8o ze5f>g1-xx9VO-wm5DDT%rjnX^l~1|1T}#L3#y2Y_O$L(cpC=)*;S3A7uDo83Jr zua9{-95L;ug(BX+mN1Y>mdXwg3p!2U_!5)&VN@MoOcf5IPJSUDL}+k?g3cu5!-?@R z02ms?C^2}q)t3J-Vz$DA4Dgt50(G6K{3J35ZBK{HH6U^lGU9PeF~y0_G<;XH!npGk zc_ApdLFJLx_R@q}`x9&__D?789}sWcQL-=099rHfw z1%yoO1V+@RR%&(N!FNx9eLarkmI>V@`gh9facaf+yZPYR68gz>`$yWTW z7oJMgE9ir=HSRYWZc3~y(n;{gnk~C;QJCo8SMC65!);lI0_7!Qf#nAjwAnm!^YU~H z7~~BvFS%>8-Sh<~R69E_Zbn>tgGqL}LPoYaXH>HYoxRGyFvvIo9*10W3$JqNK+RvF z&n}sAhG4_%*d+vZGabtOABd92tU!WKaFAG2O{sT<4{_kkF zWbm|&ZyOj0Gqu=Q&Am0DaHbXM*D}m$E8rVgbxWZ(RPLx8?tZ4J`^ev$!kmIbC>ei3 z@<~88CD#K%f}3F<_#m+#0G+GH%IXgdFR$1AfDZNJSPmR5*jLaQJ{<01=GrH`dC(cl ztg*)loKyBXP6X$xF$RNn>wxDAW&kwW6h2aeW-tY%UvY-iUwg6j4k@EZC{4Zq^?872 zB8~NnB=M1HLq&v9g7>s93sOZ~WALRfK+_1cTwc>hToVGcnUfZg=fpmqSCVb;u%^aiQo<^izx4#`@v+|f&Ih191EnFYoBGtCE2jZ~u2`VFd z^Rl((NcaR^;p4J&_mnWPO%S$=ZeHA1Bs^Gw2-|+&5JTU-j?7hj0ifa0p{sJKB9@)E zh8ak-Ex(l*jSbyunrjXkw%zX!0SK-B1b$|Mlf>ryeZ_Vh&xWGH(_&16mpv&o`BJ6P zRObfSz@N1E()1&D_`BJYKPL=LN2&$RelHfkI^)MMLpts*fE^XUKIi#x(KA>Kz1J*j z=bWDDJR_Ide$wGhl$wA{Eoc&_FL0j0AG-n>BY2k9hBCHkat~{FCo)cOhKvb6OUnU4 z8=zGP+E8&1ka0qCH4jhlogvFKkY&iDe#DG?D!e8FE>0ZxA+Ai$AQglOgx${Ft~>QV z7NJ{|P5!M%KbHdDXf8JVHC5nOrnO}T_G3_!KlbSN{srxkUik25vQyKHsSNCAvxlke z{^`&M* z&^Nzgy$U03p)Z!Qv2!om@gMU-$c*njKXWEWw`VLMrJ}vja3Lrp8~A}tsuASv9>pB; zo9M95#?W;EP)##%fbZFdy_%wIp_I+oLJT(EPqNA{2@PtRHgPa`{|*^u{M;3q|Jgh)5i_SokuR+*DxWJd_p z>l=(ia`j37uq259WcviUJGoCMFf2xG0O zpaUS24rM6O`xG_E*N*jIL6kokpMCzvKg1z_XkqkW)M=ePbp6rSaqEREjdFXZN%jDd z_!>pcKJwkV)Np^V@LvAVo021tFW&(G!BqKV^p;vG)r@t~!2-r|l7&^tP5KZ08wa5x zRtA^HgDsP1fc4k0t+5xH{^8B@``l%k&9G?uwff>ouGj`BA~ccTI2JYr!X_i05s?IL zgHJ6DuiIH_O+{uI>oop&cU2{!+eK996UfjuUFxH1m3*@Srw)ND8xI0foDHXbl$#cv zbEA01J(ctPfoAgoQtHF$fifv%v;_oM0rj69;;Y(a;74zDg}}x3YgI6EptbpBbZka^ zNh^(Jx)uR$(%O@`6V`$}wSb)W=_^av`0=$Qti8NTm$_b^H+hzA%(duX@GRhWEz&FH zJ!VH_5o4K=fQGAv+mA+V-8hOXj0a-`Ej_V>OhylDI|wDgBhhXf2(rG2wpJBGiaWe1 zT-q#x2rd~vDGBltxg{+@bTbXIPbL4|x42_x9+vb#cBJC{+VIj`H>=DCH}}FnJ!P0y zf8)SB9rsyf(q{0tvKui^OoMMRn?{XhB&?L??T`USnxX{H?(1SVDo*ISW?lZw6;+!o zH!4_EHX{8c?s15g0eJyuTFB+UhYW&+^C})m+_?NjWcTeJwovQpL62WS#YgrRZJ|#Y zhps65T!2NBEU9T{yC6Til5mHD`V#=_9d>xr+k(=?cOtG$0IZza_*r0ODGLJ)fXeSa zKieI(P2{Kx5@#jj`3qxB@BR(J>nyMT=}#Me8td2m5XRjXwn2t0#HMOP8XNGcTjR|? zSQx$)k9Y#YVw7UhNFlg01h)LOzl%)fxQS3g^5@4^dxl4)EL^O-&N?o*a})r0%&ynE zMt+2oAqF~7+_^zF^^&Rz7Sft64ZBzaa(y*jNBbS#fPol#Nkeg(P{FsS3U>?AeTsNm z@B2p(m#gVo7XMs0QqzsLr|ZJNta`fb`YHP}U|-+EFn~NVJfSUc&d8e5$YbS_DFx>y zM2PgVpXxK=NG2+*B4N?GkH9&z_?~?J%T~a&0nVtF88<A;q^^FQwj9I ztWAc$;OKE3PU0n(L(M%a{CM;EpVJI~@Nm`@pkw{|i+h0IL}cR5GI=J$Z1DFHUhpkiM#1b z^?1=QyLUi$v7!BBOE^I1Q0QH|PpatGk2rjrW1mnxIPL*W)t%Sq1 z72@vET~G+GY4JH3{7m-fF+h2gYP771z|bBc&@Rabzp|XSa|7r`3ng7=$?SgKIO?>= zqzXI`CzToE-W_~o&J-I#_3LJU808A9vUc&E5|713U&rF)Y{ zRltY`aZ>q-k9rgElbS`WTo>XXVy2EF>eE|HbsWl#sAuC6zXv%AeMlw^wFA`CQ*8CP zZA92#QHOoHixV1vz}ctrPA!Qqd}5UAT4k6mXdgRr^6fJ_<#HOhscg99#S4jD$L*zZ zIp#=dI&|SlA&%?I9|<3NWeX1R^s5+Qkg=$J8X`L!TnmRt-_D)@QyQz6qXg4E1q7Vy zC2v0g68n_5fVuA|$#mw^D5!Lt_8~y0`qm(qWMDZmAQ~KdsGGw}H_~a6gyRi2i6P=J zS8V5ABz(I?y^>We{x))nBHDIoO`{<83x828pExzzGzj@E%?0ZfA7Q(s(yMq0r!1xS zDl(H<>!wKidXS^j`4Q;URD=VNe~6=mZ2F<-nf!6&QZkJBF)AN-w8^fmeyt8?MiSw#x{RSd>TqGd8aIeETMmx7sTlRzq7!vYMeSpH z6>|!#bbZec#z5$giMI8^t3iT@MF4G@ScBYeiMGvAdeyH2@~biRoG@z%^h|;&ov>{j z%Ih6?JV;_!qm2SGk{7=}?ycUoVS4<{jS6TO+TxrjlOma?dpU_X!}v2kt8~J6$5qz2 z;EKs%c%~2j^)8vt8KKhe`ddtWd3dc9Q}f-ZRBh(}$K%ZT?F<-R`ld|WUHQuh z8+b3s>QFpNBC0JY!u@drFc5&kecjLG-150C2+m({t9FV#fFMz${_$IAuZ`2cJ z+emp5H>Lp4_4AmWVX8vaCf4g#W$D-8W}#Q5S0k>u(Cu^5k}S!qMgcA1_#vyaf}und zSR;R_5yeVJbgP*T8w`?$+6f_cE56;4J>P#@l2J%f0~8?>Gf`)6%iO4TfS}wwD(+_y*XE;z*<|=0I{*a_ z@9r}bSWaoPz=P{(3EPFNx^Vb;sB1P01_-FzhEhU=32!de0TB#17PbXaNWN^umdkW} zFx*yXtH&H2XA`H!n&teQkyKTG(ez=B5d}_NVEJCN1>)|v^`OQUoCnRyW9Wp+8kT-iM{wS+)U)DAZtJD^*E5g$S=(0T~4cIc_t>v#}KKo_Syuso#a;SX;Ft z@=>qR1@CeeX!>%YQAi~Y7fM%DQ&==e|900LjnM~(B>BkEKlE$0!-Uhdc5kUFEP4m= zu9%~3Hh>1E5r(5{4aZJDR^d)R*4MCLz&Y4iZHi!FZMQUVh{AhBxpE{=jT(1ePHp71 z7LNF-xP99ky>F5x>B&ou<7wknnTlhxH-ONBhkO(8FT(d&i@~ALzBHh=V9myz<~)q1 zA1!)ZwgnUyKPj*~xyqZjMsY_jJx2{}-i3#D08dlM1Q|HDN%yvnKmv^_2190E0!|;5 z0w#ntJC^FTo3^?Xu@8yG8DeCg)t7hvnJ`KM#Mu5uSfJ=Z{201U`KfOa8V?2+%*)95PN7LGZ`LG znh~BV|3g%Birc{mbH-|<_cmXhefFy6ZB8CDn9=WFED6d>JFuQQrdTx_RbAsv(QJa$ z*u&l=&qhl_nk*_J=WBTs75Wh0HekUe9#;_02T*+!x4h` zfw)xmKoDC9AA=eK$j7?kXoYQR=(-rd+<~+*3GOcm?r5n1 zTapi?GV?NNnkh2=J~*@Us$kf7xRl*+*|2VY*o*RwcsT~rEU2|0=1TL3!3Byu66 z05A?xii{37A*eiDT|4s3=)b{xGt)@762P#;W)q=pV!>x+h#@FCTnQTmW_M*!!tD_< zw+f6(Y%(!=UllZ0+dM1w;(=-^)z$$mtLBZP-_Z-c9_^_8|NSe&`h;e-LP&FubEYNO z*8?6g+yZcsHq^Xn1{la^WdlG6^?A$&Of03IMzJv`qb=*Z4b5N{L`+1=Ffk?1pW+mC z$<@pt?k=Ay7GhO9+WQ6)SVH&pZ{?#`4Pi*m0(TxAn$;jZCW=tX8ih8t5;54T-Lzn! zqfz+lR>?tx>Sx6|3X`wC-6q-YUK(*$Km?-+W$N+L+80NN*0DjLK(WFJA@>Av4;!~n z=oqXde<(ayk(na)Xn$}ALXJV8iD*rAR@z7nFpJbqIn)538p6Ll-I?F zxO3sS_uOwjM_Ue9?w!jx!h`@P?=zHVW*W7F<>A$r4ThU4=8Wm>{r;l>Xazvqw>(2R&Rn0^2NDhl>J%b5flUK(ZVXI6$g zfSXT#on|!L5M0mdLT0b`$v(y-k3#+wg$&Rj(EbmZi=r4}O4kReS8$CeCG>oO;AT7~I5ivkXEoOu8c;b-OkAA=ooIai+He75+Ye*h` zx7a+ztH*0G1llsaK^iFWW}-z5rM3MM{Q; zHf_CoZO6U);Z1Rt5|1`=rSEf&a$2{cgcTYE*r=q%YYn`rWdphsfV6Rh;L|G!uVj_W z1m$0;4;cKe$AzBBMFN#;`RreQ=kfkpdGy{(vHA4iD3wSd&2g<$s>eQ7DW!e8+39+N z>D_`|-<(}Kp};aBU$lERy9e()fF(_7uj%q8Xo%^rOjT_SQ&@y7cwRMoUhq>n5BHxkKug{Gm3G{HyNh%o z%OK0u)XkZK_qo41pL6A_-=$;dUHzSSJrS#Zn?)Dm&C#(lXHG~N6lTp3?1h$ZQu(S9oh5gK z2=H>#bm=9i_0krwYUJ1XPR77>eEz*m>{xo7n%A^SWtr9@Xp=bhft2ykIdKJ=w?e9u zpG=HTe6}W?@2tE;&GHN3z2=*ojc2B#k>)6#G2L)5J}lr z4%+WY$`VvtF^j@hVt2l+`k3x*VDB)zC=lbQrAmXTi{!Pg8(`u7&g=t=FTS$y~=b z>wDTLdrH!}b`kHyoeg3r7siw(l)zgRbr)$P;TC1(eC9lLrh;HJr2fFFlhkiKKe9Yk z2ud5%CmUuogB;v1!|tT1Mj4Tz+tWXWk_TRC5vhk;_)4H1e84+hqiT6ry!Gmcn!po= z0z^Uqf~&Y(qwM71SZduE*P)h;^1ec<3#l^mizS^LhEz@F&if-GSTDlta`8F_^nG*m zlL&jL%z)F|T1IPfh&<{1z{9G^OwL|Y?p#W(=dT6?p4hrk=^i9Dq(S4NzZ=@eXAcd)g zbgxPyUl-={K{mwQ0b)2vq#6MbSh2oq&9LeSvpIZ|A=F=FCl1yXfaz!!zt}^*Zo;sB z425rhZ1*vZD*aKpBXS~@e!XRqqztT%j4-N;y25s!W5I7au1tt=wHsNh>Tc}CBx~HO zKJwKKus|!F1t!cRr+lCx8(~vexSsUm^I%Be7M0tVeG^N;Bnr_hYK-#LgRsCf!4TL& zhek^OOWtg^M*pAX9mSJdKp$J#;<3Qi$amHb&Lpn=Ka9P1Jk|gE$Db4_MWi_P2xae) z-El$(8SjvhJu8IFG_m9_lj4}t9OG^pJ+S*`dHI3qD>@;U|a7tpyt`tj`XBUi6SoyHf3xQ>is z->04qo;qFxJOP?24y9>tp}UYzKlE{t*Lm`5(4+(;snV`mQG<7Nx$YuvFyt3de6>xj zFm1B>OjLf0jag;p9_a9VeO=@gvkpORV^xBz<#8Hq(ti$25Eziydpn$ta!uTB(}Rmn06?wdewBq70smt28sUPy zwn*)hl!RYxQKBZMkT)v3HABS<5&VvqVCDWTMTvJggRl27d(%NY{SQF0vk5+toI!;Y zQZrDmXNO0??ZQaXg$R;B)QWgPS_GV;M3F;ucGBZ7R>#3Qh%i6@E#5)AA90vhp>S8L z+_tdHTo61X68r3Me-@?0Z8%ORV7^4cZ;>-I%IYrMOXR$4mH7yQ>+X1gR>A%@_}=Ed z$+jpf>-4TyLLBcguM*iI*Mxl=9>dlVOeFwc6z_#kukUC>qWR318p!3^Rv!Ic zdH*&fjFR<1teKPd6S$Vz*7y^lMSgc3nBteFWdzUZD>{valFCJ~IO~}4GBa&0e!+Jh zoR`!;S&eZ?E5L}{tW;tfSgcfVSuALIrll5=cN?_133>fSA#0OWIr~||i`OZJ7Qf4B zEn$TSa;7CGZZBcFt)&Z6hZhT9DKX2X*nO_r#y{0RA(4bB)2&ns5PIsl)UhH|S>z{_ z-n@iK%AJ3D#Y>j}J5@rz*lX?V5cUH%YFJcEpLY`acD zKk;;-AHFZnp1!C);skjHu(%Yzu z7)$4i=dJbV(Ff0kI)w8tUi8J34QQesRTQ+8+!O?%j$R)-c{N5*kw2uEz+c*6oxIW%)4zo&37JpyiO@ zq5n>%q+Ev915M`hceH2d{A0<77EiAU_3NZfy+;cj&XU*N(evmxMDCF!-@rl93 zuMJYQa;u@{lsJmpq6KT6ym;4~Xvd`9k z`1UWi(Fa}$aTg@2vhMLf)h1Vp$1Z`A!C`FHh%fqbS$FxbD$LIAqPxU1DD{pCFIBd9 zTo0+R6L_;{>tCW*7H=a-7nOm!R;B(uXn1;P>z?aXMjn4nl-^N-|5A%;?6g0+KBU5Q>ENEVoJe&?e-juDefq9W~e`NN+f$&z^RD9+Duet`5r$HH* z=&waKq_9t+9~PCh1YzFZuj6{TWWS{Qc>&RZU!OLT9Y?e8_gX`gsUK!bwh;%{cA)PG z?m@iW0P^4@Z)&?jwH#?8enc5T9c zWjbFDzO;6$C`<(@yXQ;`71wU9k-Kl8{(w{_TsJ;gYbe@>-Wz3!!JQRHIzvFvND{XV#SOJ29IHbq+k+8hpu_DXu23|tYQ#F zfjuhm?hGASmTHPr_MWvMHnBy_XB!03$A&fq0Y70N9vxf9z@})4*jJ9@t;}a~NR{RI zXYe*uTS4LF!m+8)W#UnG2$cZqv4;(w@(N0`BgawKqtnIk)MM4~W6;ngU?w2Qz*Iwu z4)*tAgg+>_OppAOSKd$zD+TlMTYz&dVH&)3p@KCAk!a0E0F1D4K6BC`nj8k zhq#+ZA(>OmA>?l#w_lBo!z2ko9QEXKh&v=|-`@(O%XV0Ylp$;{^4V&`NIdqPBE+r$ zKJ6TLd7WV81myhwHa(&y0{dP+1m$#(^dHZG#TFXBeP{6yixBo>r(=lS7qw%XV}`D4 z)Un5L(VuP(F*t_geTO$Zb~iRPI{qj8+qHD=g%AG0>5mbA29Z>}MY0uYi4YSj_mmz9h0yDW4R4|Y4*;GR66S&OCI1)U#Bxs$|tJ^W0$41z2|1;+&uffHKGspkB78_SykmVrU!0aEylv!q- zF;*pzRzys^L6^B8IQ;O!5wa*bgBx4vG@z=;K7>-z@rY&)ljtvo=O2gZp+IFB@PfME z@kmOm33XS*$C#RuV(wI` zetISQ_8twIkGuj~#@MjDXN${D^OFnIV|4ew#DNQ7yivAzuU#TlFYP0@T9R{b>VCp{ zO~TpAQw|gTlA%tC!z^?6VHUl=LHK?UeK9;rYBV*TNo27{p^Un+bJL^0J4*I?!v?ke zeFefk8Rx9&vJ7kT^=oetXOWs#uArAzr8>x@Jt%hgW7=aedsxsNid^r3?Yxs`#UcZ- zQLk|rg}jzZzx~Ow+x*be${ByANQd=4O25f9Nr|~K?w8YG`Tc>3ZfoGLCdexlVOddQ zX{#U&&BL6c?VPT8Vkt=hs#iUx$G2@CR%jYOAmBwH7arN=B98lYG9^3m5rw z1)1)S?1+ZY89D#z)MWN~ZbFm8mx`J=Au#w_%OQF8japf{HRFQd!ejA}Gh{u#L__M^ z6f$Ondd!yJ=L#<8>qR=Cd_C1}bq8RQ;`!NBObogD*G=ExnVBSF^hd+wRO6FWGS-x- zAYq`j!#tg#b}Q#=au9|##X~c(#Zc92_6RGuyfuD%MsV3I^Jlx}%+c46F6-L1z#EO} z4_F|rJe+@{X0G0v`tdQmVVFfagzdiRE3R<#FBG5>eqeu>#0*35s$CYqpG^LCK99Yo4Clybm>B1K$2fnz@3ekSK3pEZ3?r(Kfy;z%tek%cxnTx57II> zV$qw<=F_vqF{5ZBLtk}yX#w$TWI7-m*$YKyuPSOX*zB1?{*?Z*+H}UM;G9K=1q=V& zxiOY6XB!ZK`2uM^Zq3L3oaja4CBY82GiA@n!(&vK-Oo30eM8q}4Ftyc!Y>!3^DAmI z2jDRrAxO2G?u&DcpHx9J=Hqy=IexlzIKx??9l;Ic4dG?j%~xlX{_j6Vo38?u4P^?Q zQN!YDDOK||MC1Feh(!IOS%)=~y7xXv(!ori9rF6F0r}k7@rQ1Ipt#%mU|h>hS(6L& z^i5y?Kn?hEe1Zz|iz^qzW=TE%tk?dz$6_xY^4drgQXk(X?`VN|-|OVB6Yg@LBfcbv zQtPuvI5&0AWDePrFtlebh<-cqcK^h$x6UZF$pVnTkJSapBylD^ZagnCvJbBA-enz` z!*d~Rj{*k}w&NpK27Wpa05@3?leHU$%dkkH=B_na{p2*USNi6K8_H3o_vn=YWwWo* zNy+n{>_DU8(OdTlGAFXBJ16gfdHTB~zFUhGj*!el`5}?u+Gt%}8jg_rzXElHpEl}2 za9vsA(irHtzcN??-?nUrIJk1kA5>8A2%9CscBr;s7(!^L1_K#alY|ZHPI%OU)Ie9C zdQmnKYL0h+pOkQ3^c;?GvG@x(M(oyMS=90%II-4giLlkG!v-FMdlqpxei-hR*b25n zfP=`RU)>hwp!i)f4C#(yD|l5b^5_?J#u{nv^ULz8zbEowT7C+&aTwA%nI30oAL+>%4ep0HhI8 z9`}KhIfr1hszV3pKzTf{R{O`xL$$gBs^{C{Dxe>0#Uhr))kE+PT1)<9PyvHtpcRM# zQ>*iJ%homl^76%igib>AJyb@3reWa*m8I{$z<~1Vt_P4@zaJvH_TIc_IWJWZ|Mcn; zAc)zXoX;B2rXQgjJ1xbQ)N*ukzjx)0?2+!d`K<~)BXPQC2{i9?QQJE3P&$}U*ck^3i@IZN< z_XYR4DD8jcSHjW}8Zr zBSF}4_|6LcW~;YTLb1H$_8!GrwB@rFhs+qDeRCnAMMAB3FKSmTYpMLFOl|8U@-BxOvRt zWF!Z|&+x_+B#3o7B`Jtr@v)AFxU&|Yn@ z7~P3cf4q4^y=7B(@9rm0>w|@AnDm*7x^Acq1F&erkCCkJB`hWGZ`P(ikf|H=VNtnRzA%Ib{Eb}e`Qd_qb`1ALn-$2Vl4vq^ z5G0NzzCEhEEu0pRZ=$wcXZo$>^mvo&dV5)m#POFabrfQK$$B37n(z-tCn}$#5M!tf z67KJhgf+h?%!+;~d-$+IUqx&#>SW_GWJ`rLgo&94`8ya}eb^1seQ{sAg~@aRUntcu zhc|aHPX5DFe$IaXz(O&IqE6Rh;|gnf7+e$*yOc9Tt)i^* zr>y8>xb+|8Ue(TfSMOE$5IgInBK%=8^ZOmtiN67sK(?ohZ&TC;L0^K%!(?hP$ISCF zB4G6w@j7t5Evl?^Zu8QB^h>Z3ER`E)x0aRpK37V;Gm~h?mj|jWa>n#U=dZ! zp|&fpcjPxo{vS^MEmlXewtWffA$_Opr z9-u`xjA#tB_lMg?=|~tc>o1jLqMoI z>8pJu)>C+nEBtq0h zr`Mm8&Qbl%pORs9^fELYg0&I(1@hOt-u(>hBgiPT!FOWsMX>Qvl>Ffw1pMJ!z9QkE zvYb+p+M6}Z`wxSPf}H6kl)bshbpp@I`H6%Ba^(>+T1KpEmao5kXH1?CTP}|XNV5hS zR?BBX?UEi1Ily^HmAAD5sT3F{!EG8N7$BExVg`FL1G$*AD|vbrX zY$`!MF;VA@KLKQyaRk|N_1~Qw(v3C1My;;;(jWAXRHw<;`C=8-!ioE}kyz+-XXK_a z2-WNWJz~y~!=Lg$uS{2)Ql8bTV?mk@Kv|{UuqE!_Eg%9ro?&NM3@-%`4xYRD)A7xj z`OP+foj>q3f+~BzK9pzjU@5QzSn3(gf6pGXoc>pBov}P+*Ql1TvzjZ>x2kZSZHxgU zb>5IFh()O9C;$3CW-;7Hwb9(qtd4I2jW-zu$_@Ch-xEJq(+Ql}W%upXrRAabE0D!l zPX=U<3`P3t2Y`McdXiqm!|52cZw1(Kt*?5hf6L3RtdYSyd7jp%%4<1T{W$ zL$EFk!<^fJYELCl6$X<4<+ujYO_zsNKm6aj0Bx!q>4*T2Ob#gqOwL1E=;4?II1A27 zsRkCd!1T4Y(n82rOB@Y|aNQ0OykjBl;8XF>m`pEcCle#`+Nc7qi;bTZ;%)pDVFe4A zQOmq-92oJ+0s5jF1#JoJtj1bj8(1Vg;!KZN+l#CAO0gb#w*;gd7Xc5dQ(;dU&ai56 zb^^?$Zksv!BAx$d8m|N-fP1T+^#Za}zfS%YSlEoAww)c)chN61tUn33he^P#%^)&T zkpEQc2T|J;$nt9aGe$05ffbpd?E1v?Sg}=6!*iWZ_x57YK8}3{266pe{u~e{A`o}s zwh558F2lE-MQ}&MX*UUjh>^+JExHvx;3?JO0J(;DD@VY0So%_GYwa8IVO;)-zG-+| zf=wZgiwAAyz2f89_789F4CCf4xc@j|bEOst#_j&7icXypa6J56^TcB~Pya>&f^j)F?b?U6=Sb;Xyzz5ir}Zfq@LR9*J5KQ1;?_EI(>ETUt{{EbF<@4pIoGUGS; zqQ9O<0VX`eu{$#QH$^QU`3lxJm zuIIZCKiyU@eYt-_CsXqLbp-sUAN$WBWRligR+x3p&KC)fr>sLZozwV3-$7dzta^^7M^)~u<+@%hSE+zsnsU;x`K|Zfl2xcs!yS3Oz5pvGeY7OguH*;KE+4C zuTdJ_=3H%24M5XjCe9l!Ypbt>ZBeh~0@+glTswDU_xW}BN5~mZK&kdDD*&`(QrwrX zby9Hu^QoJS*E+*PQkt)wl8Vrno&jM z>)rc=F%%-L>~8t{;Zq|HoY!i5#TF9(jwB+7-s_H~{(+O2A@8j-YIp0%yB`ym!mw}c zgSoDifjRFnvO~b%LimU8HE%Lb&j7ZUv%&gUJ%SeIIdmy2{ITEzGWTBxnxhFpX~0Z1 zvM<01?K0&T{&0D>G#0F>+V9y;^jGHrWuZl*lmBh6l+OPQR|Rx8WMko!Rr-YB{nq(` z&Pm1_jw5FMGLp~+T~8jv!ca2aMA?Cul&ET9qbQ<1*QK4l>c|&fjpqrlp^=q>i#NZV z@3(T*h)sD5=WcVy-d94MOwre(s?(CNLCmA>nTs#`m^Zz#voB<8u(L?Hp?_ENF}t<( za31adg=)q=VREnT00!5WfycAW<|V|^51GhqSCwb`GH!i@vVA=6+(ABcQ4-rhZyFzk zQj7F#mY7>J#h&^~lf6>=G>Q1EGuA}iTyx%TKHc;sPLHoG_Ma|Lft!k1wAwthaZTt= zexpJlmL{(OK^2$%qlV&mpJ1tb83H^?@f6aKDssE zU9>1cFsNH-s#p*meXd3L+BGDnK~1~?0&NBH3Fx@9hG^;+9sm9AflTCLL~;34pswVc%2jRz1F8)ropDcW}A#AGO?!vgIV?KAV0B&L@ahyKUy*eQe}O|;TYf9 z<@N7nyyG%|c;vHOaifM)JHV^Df`vv9fy%Qt%u#@#P_AkTlxJq+SF8SKVT3424Y?Nt z{mc=u_49@Co_7D!YwI=pzd9@->p*zKUomWF404{2SMomj?cDjNlflON-3R{_q{9^t zhXlY8^I#454;B@6=OVpnV)Z>J_f#Scx90KYIzFY{oPhOj4GBb4Bz~Yhcsl4{K0iVd zE&#+`SlwA2`H-P&{l4VnFKJ{e|Hxz70Yo#Cv3IYFt%rLaE%je@jiuXMO94<8d=4 zOxwv^AetI|_T~7Z&fyR}Ekf;x=R=`?85+k32Z5KWnxSt0~<269KJpu&y1^9SJCW|&WwM-+OsLDXAqI=5s?=AQg zY03^9D=Hze)v6Bwxy$IABogOqc%CD7zK0ZRnk_V}H^2&4c~rLf6|PY5{;sWmj%Wl| zZ`8vBNoKo!gRRc9YIHvq99ScPvV4EOB`MZGV~_KB8v(J2`x=A~CPP6+0JB^JO*YYI z*M^<04Hnod$-IWTZn>$$5u;MiW$_c^+a;K_irynBE4YBYl}<%^ z&J%zK6W&F}rqLVC+-YQ&*nz<5@{Q$WMhaIR8jOA_A2Ypm?P*WT4TXVW-@P$XA?kZH%O z6}Z_5Y&P>;UD2=^)_zK){{}DKMT#6vA z#!8kzYofcV%`U0L>2|s~DzwP?ULf0#lt~xpHuP^h@08xj3#Jj{zvGzEkkB@}IGeg> z1=)5!y$5~VAPj>Y_r`PfO z+&w${ActG&4*oAqpMmmNIkJ!X znS20BR)SUXGeW{!tHUP|Y^2O+kly7r{0t5s3-S!AyI>~@CO9hMB2aD!WayZOUHXC) zbc{gPq}gQO{s!32DF7uZax|)n&0RtO_!UUt1ZQuZ%ir5%o@ut>Rt??KZ)n$m_-+l3 zNq_-61l)=#jG|QR(6H$-Wul&4;k+Y>xq0eqVwF4 zy>Wjjt=SEgjC2dz=@RH2X|xk(RZX^0(*VkW3&VNJ;RIL#d~UJ(*8X1RxKX>%6>rju zSB3NmRL%%jKf~zg_j?(MZSe?c?%4C#La&>TK-rmir&HvUb>u;pZJ@+sxt#l13lF~n zILX^J@1&{EF?I<5Y{;?`aNRKE2-@IvO4G!e()Hw~zJHf@g|gafn(^8}GVX8_!|Ts4 z_VU{6Yi)c)?PZEbf+=5oaNK=eBoA8?K2uQ8GGG(o0JiLTuqfA6xJuYra0naqSEhzS$rdxbKNGO4wK7d6aSwXt zYtB|Cx6z}yARrWRjirtfiyEw{%C?zz$Lq1kqwa9algAomZ)`@bM+td7!;4vv?%Z!_ z@`U8_9=4H1t%q{705%&Bdj=u0du~-1$+b?RFY;i@EF|26p@)_4&BI_pxqz=g>}U34u*WqrPtY7DJ`e(Px-a@++6$ zFs+b4o)JM=ZWpZ64_Q^4>uj&X?ojr9XL+#m`t`XF@(I>q>o=EuHe7O61Q*P%tAmc) zxBM4+w2376)+qKt8t+%M0c30uFuN_CnRus(d3dXk9^OtTxxV6O$2<{8a0}>>kJnOa z$3a1y`M!$dB*O!5k7ZcrOw|rGWg_bvxrfO!`b|V5K?W$kCgu>5fvlnO-j$j8LDPO4 z!7bHMnh%0=(GLTlV$}&2mG^Zn-{M0mEqjwCxooL-bliV-0l&_N_w`oH@PY^|nV8%{L+Y?f*Hw#~}Fj#FrKW?~{_A9vejjPQT0UMof3Sx8%( zN2-Yuy#?+-eiuM-m;V8N`WoZ|MH=JlYZr&;l6iewgQ;dPVRW{CYWL=;gSJ%&CU_JN zW$BcdP^z%qx`66q)K@w@6#bV0fW2c@s9z^m0sVY?boCt9^xQ9rtDCPBoN8{o-`(|7)_3xSlF%HN*~5{Itg39kXw2m<-nvi5Zh34m z3)O@g@pDgjA`3nwKBR-@k1KLbVy!hV2?Ctd0}Ba;&zM~!sT>y(wOH!!ed5=mkSDpO zCU~9A*P9Dxmx|5)Q2}C(A>Qj~9lxyv{yn%tCEo8`CqOtPLm?NqI^`Dpj4*f#>5;Vj z`qb{H{cuA9PXd@Zw=34wNv{6I8j_b8C?3&z zvTWvC+|GT~mRZc;7_GpQ-sr0ELqk#CYwxa%v^;af;eyA_k=k1ssja3bx=yp6^~^RM z`!Uu=V8=^IiI#BMsyj%1d+vQOcHG|5wyBP_@^deVb-SU?wm_A{*ql>&+K&pOxK<0& zLzF=Pu6OF=egb1>fo)9hkn@yl9&F#G^j`a`S0-n9U6b^GI5g% zm{EGmO}_Q)kEe>WF4mz{fMRMg%(jSL#*~@jB)yJ=%bH#%iJ~U|MT6svq^mR>5ClZr z7PCuUVb1qlEA5qX=n{jgfnmEw1^KmL<0p=qQV_}yed!icctvpi+JO|be+u~lw_1F` z&h0q?&2?VC&SdaKFE1Gf3rfrM1w_M__dPmyx5Yo)^O7(Aj)O?0i37?O~N(33-`97CcqErH9SF6TP1RcY~TXJ+5vg*klrKmgTl~Y(0U-mdqJUW z0}?G)JTCy1YbC!dibRd~&S*a5FDeb)q>Z}B25)O}lbp!>z|fG?J7N~AzgmuP0L%*j zi_lbhYlksZ2Sx>0#LN2Z1)BeO6<^qT+5KY#)>nKXf!B8m)>j4ey~F|nQS;*&oAuj3 z!F9bcpLmW^4X`m~mU>?IF-vovbMEQt4v^F;hXaeQnV+5NfmEC+#c8iw=`X}C$1NBI z{+tz2y{y(HQA@XQlafHJ(a?e_4_~b`jV9eux$*4zTShan*7XaqTYcMlCY-8SiE~dH z8ScasNz93K-cnxjloMy^k?ugLGkuWOtCfqQ5dDK2vN+|+l;c`wwn5*cgJRAlxkEBl z({RXhc86hTfo>8h7XhOCi%dn$5d%zmcA5^;eq*)CX`x@YA+;#-`F3vOW|zX9%bzxs zZhl8}agqu+vY%FDZZTIW=yP|jBdMsuj&aGf%na z=ca&1)@I@ubBfZ@YcrRPm$*u&%R?|&6l;96Mdyo0jv@~|%pMFQymk*Cx7yT{$sxhY zjyXkO$ZcL8=HK{jR-mn~V{s9#XZa%+Dd?$UDv(=UbSx|8d*v9{c*v2tsl(XwsB7ycbVUm!fDn#ZZp9FuVDS) zGUd0~ho;lo4&DWlb|aLcQ!{cq@=xFKSv9s6WloKlY;VR3(iE&iLQqFG$eD67y?ec5 zQ_#~jqwA)%FuD1OvFs_9n9ILb9uFQ1HXK8$Lny6 z$>9sPX46aFn-e1}H*aVuCXGJ5mVrh&J=u8W!4Z-X-yj+6?V}yLe*xd+lPeRPas&UQ z9^vSx)=TP~gvooK_AQ9GC-YCm7udN5?wckl9oN(pxTSkk;#_j{eTa=AdCK23xad}9Kf%msQQxjaRa10Zhr#!wF z@}?Z&1%Q)&PW6sc^(`AKM_(spBu%GYJ=(l>#Db}hD9K_nkavFHoqRN>{ls<%H9JWm zr99_T5UGBt38#N?`Cj@xI~Uje~1>_ty!4qg`{fdsL;afgX6=tECfYubzILeINy&^EC0FW6IhvGvFfEyQUFlgFDh{b(N|-fsPi z)p5^zGj21YknszC(|wM(wkThjz2?oY=nJtHUT*Pi>HIfoeLYBrFYRcqz5gu9??>>s zvgrfuTACngpa5x|40nM0#ZFyOzKCyb!taH$*SJ-U&8hf1F42%@(;khg#06VnrWXI0 zJdR*Lg40_u_hF-4g;G17rXITuPJJs`hYnjfDA)42|Cz zd-nedu}g9mN%J7ey~^7%s#0p-XqYn61CT}Ar@L#jpPGL*pU4WL4KI7v9_XJIVio9s zJ$IFWGQ1r!FELpOhLfW)wSAuk=?9|LF@n7MSU%+wD*~|icjR}qW0aS6AH^0QE|N0f!_%8&ZA5`GftTC|ItY{IK$b?uXiI#GKz?^_g9mn zV9)HwF-fZz3Nz^Vxla4a-GNW?ZecA_L>~&%rot;v?N}`n{al>7hqsIxXS0%6kovyf zTvyUm{ers`5fklGSQV5ff97TD;GaFnCZ4a#!NOvY^qsD81?drjc>?`fH}QTcKjmrm zP31;3J!3^8y>p-MUwcZa5%5Rm1ubg~o2btmQ4_gbpbaBsbmjRP8Z&I+Pv`gob-eSESm}h>X{??!HqE4+@?|(Eh~T1hi1YJmV7Z`blRy;Nrz$0PULyi`_Ud~t;_`a* zXF+ljZ3)XgqJzrO2KR9q%lKI#g6sROM;j=ofrPhRTc}QBj3Xy5c?SJW)R?>Q>7rMP zc1o|IPRxvl!}#chisuzZ4g$=Zfoi7h^1*v#7Cq)C_nVu%e&`>Zu=J|k?cJH*nKJs^ zOSm1~X4FORC{TDGoB6elcfn&9gTtm1iq%?no9TLa+@gIHHAgk?S|C~4tX!38bYHZ$ z;^m$Mif}in(VnyX!mecB?!;a)%@wa3H6Hw;p`4xK%@tl9D9?wX6!Xt(=NnuFn13gU znR`iw>Ry7#3L8Zer`p^-(;`;q*4ro*687^fZxDW2z7XfKS>_o)Dt@(_s*t#$B4lxEVDi!U>pig z)!)9nl6q39Upvm$xTBkQ4W$@}&L%cHgq~ER{PE+le%d;B)-#E-y=3CCd%a?v)@$^Z z4`#{bW=}r4k<9ZthfG4gIuhsofXF-}fcue#4`unzCRSnjR`OqzHv;#$ckZt>jz85& z_7LcFqQ4AxJ5A;0M2mFL{5@5kzsTbWFI~6P9CH26_9yu)_32qCjaZQU^i(|CGp+fJ zz0R%f2l?3M5=UIshv4vKwm&kxPo<3tA|9vRuk{iR_lTvIbxrW9jwTY}sKGZs&!)gH z`W52k?!Yqkl?O}zc)4-K$@nVnoXwcnKLvKpB} z85|zSM!?#}>iE)`0v-FjO#br?D+vXT`XB6EysJyxT??BDhR^%7!!N{SZGK~JNw=qS zd?zL%Hk7zPak}Ql{0C{5jE!nOvE4NzM}5^ONza=n@lPTxFxH^NS^86&_{C_2lLbdv z;PcFdGsA=-1f0FqeskBZT$6@GuLVBa2Z`Y`odbu-{I^(P^QzRS>EVfA7Wqz}_l%#&-vU*#n=!<0VH3bj0Mi6A}j{0&ETcW*BmS$#O zG+lRG%eaP{l+hh~_eo<;fg`n8ZvEAx+a#9D?>T!tVvvf3cKo}j#5N;*F$tq*DsjB^ z_ZBHng~o_(?rchHu~#W-ePioCeaGIUkZfXUK#w$Sg>4%@@YOGJ{eo*FIF`n!)F6H< z(LjC^+Ag-*uN|j+{Y(@)PxiUCe~U9r+^;|3y&f1p4*3NE3tl_L40~wJcn{5wzfQ5^ z-|Y26_ji@bQk|jMbJuOZ87!TJBZG+zxuhrbKmBrKVSLc19GKYpE=;YdbcA5cm@Y-u z*-fzQfnwpXfrpOZRaQ#ZP*V_lj*0JV^dU9F zV@h(pWA^Suk18uBz=Bk-a(iGATcKEeqV%h~HFCn$_9Zsw-x8eU!|Et^wz&&d{1pNIDKpvu7Js2^ z4>z%&Z3Dof*txX|0*+C+A-rY&Q_kvnr1pQ&C=H^s@BC6TJ+Mfp=EGc}5NB2A-bm(Y zyLkq~K5vp|SatC#ge{+{yt~k|Z2o$#o7vPWzj1awnVPB3EdD5NJzJ_fGH%BZ??BYKBg##k zgBTkD8p$rH!u#mJ^!>xDFJ9_(cwVWwqP8@v+(OLqzy;cxmiF4*pp6?oFev`%*l4cn zd8<@D*$5M5@kgoAe(r|xU55)Fq@A78jeI9re(Q@~&KxzgXWC96AfB|ZcQ7*871`FG z@2f}_RkVPr}RW8RI*jw^&c z9vv)nKS#M+`0zs_=KUw*3dMv2(tQu39KN8tZp8mmGen8nv{OR^3fi0)^JZIEUpBPm4 zun)O<9`@|8f4<%KI^hFn$QIP7h{o}AgVZN8ue_^`!h6QG;+VCB|4=HrLo5u(Co)>>E3geBKqW}&}ze!vjWw2JCf~#7QNeb ze5P(#2LjVfKL5$W^k;mnZk-M*qeVGX8(!&t$sH8>c{$j4vUfk|-v!7f+DOt1#o9nn z{eLB(sqy2QzC)gO=9*Lo$<6T3gz+1YtTugWF{fWZRV7MlP_|SYCynCL`)MF-57B%Gh zG6LR*#wiAFa}?HYtH2t4lwh(^1C9~qy%z#~Z8_-Yr2DNunmD)jdp#kW(d5-HIY@tn z*qm62>G9#tl#{D!88tz7{T=fs#LC1^H(!z|D?E1*-|beUlDWh%Vc3`}DB6;UkH+uk zmlJVe3dNB!GQHTLe1?wPq~NLIS_r_q0qsCBzyA|AzL`1%}cOp=aNXur`kNh0T3e&)FG8 zwj|TxdMb-Vqdygw8(hib?V4wM)2V;Jn_Gok@g$TAo52W5f@FHCN*-we@MOonm~E_q zovj2vS19n@lKcvC%RLy9(W81y3oTZvnf zjbhEhOX=akdc)*B9jtk@j)7YqV0&}$Q@EekibcIDfBK0w*=aZykK!V#OtjZ>HJweC zX4WN#xGj^D0t!t z=&3q|dAB7bEZL}8IH@Dz+cYoniSX|4>TOd;MiGVN_de&t#kW0mq;z=6r@}iQx1elF z@VW88P=KhpxW4!c6E? z%A#z#9mZYj>}27#Y0c(q5(8(cvbt1by4H(MUvCzRq^Q;`vQsppFK4MrDVz2pMgV;C zyPDjUi%itdg)|iU8bkEqQnky`c1VwzDnYYKr1b9V0@n{@r}$ND2OmAv-mvm#Q*NYq zYd6emiSmnTsmn8Y94zGE3q%L1bV*(yA>i*TcYf5WX->Bvq}b{5P1}$)!s1F1uLk+( zOvn}NgHqZfMaRJ^MLNkm&c+=go^xNdNgDY*@Bc~iw%ydPZuzrVI&Jv$pvG_N25(sV z!`MGZTMShfP`o^qJe~wwdoe8n{nI$m2DMC?8YO#u<9LJgnnSd9B}n%bt_>XJB%7 zGnzZhp2_&-OOu$jXPKdAs56WL3z*##XsYM%yl$sQ?|@#%$8yHhF#F<%F1M*}`WUk$ z5G=Ms>!%KoYP7`|kxKWcz6)MkMoW;-sjgWtwL?+UI2Rju7lJ5$MD+6a3Km8Gg8nGs zbTGO@;Wu_q_~q7l4Bryj!8i9uD?=rpiJtpJp7pkCjmwdG6`IR0&u++I5@TFGV3y!a zMk28x(~pzg;N|VakDKx2@iM8ovKJTmgpIXdofMaB!yxmJ^}a(h#TqDyisoKKu74DL z8Gzb~S@(6oCR-6p|NRxtF!HBqGKIGbbUrgf-!vbn7SY|Lz+nrmwxiD|ZiKfU?3nYd zKe>*VBIRvqovz&eLAUS6WKy8*Ccnw6O(`nkmjP7w4G8+CcV>4i8030}H7^bkTeeno z?`&RUJ#aA6FRgc&N}Qs!KmRhIvY2s)9wQ?5dQWPV!*}T1H|PJ~Q&8wI{R)8AT< z=md4X@Y_M2@okx}4Nu7QKXg4XdU3Rq&G>SE8xg#Dk3S~``SaO-6p4Wy%DLG^>^!7u zT@3D&tX}RA$=fo;at?stz!oS*Ux7bM_3!S)foJ{xbrX=Oa7|7890(l%o=t(DRmASr zrg{W0mecDUY_nlJs=kkZ*E`8C6#*xMFdwa0#NT4vCNj6=xd(|DTVi6h-}ZkLg<$2L zqiV@#G|PspJ9SIfJvjaQxWqF;Z(lXzHUM@5I@JxSeGG$9_?!NoDP*b~kZz@4qo05< z2IJC9p>=8of+FEt61y@WMZQDl+W?S9 zQ3Qb2gF-?YQpr-Iu&PWn;mCde-=A59>#EDsBcD6JR=fJtO)#7Ud{kP{{>w0rT@m!r zGl)hgzAfvyNO&1Syac4fX{SyS069BCLp-et!EkCp%WojzywXK+m1sv5D-0Q#^l9bU z%10|P`_J$}80Awq2gB^#S2O>=1!FMb1W2l?xNy;)#k zFeu><;Oksdo-IV-x?GG>?z=BtMbg@pAmXV2avs5Qhu`5nkgh7E3?F6d`T}yrN^g9^N~DD* z^v1dYUzVm9l9Bb>)*}T*cA!u)zkV+K9J+KJgmjm!CP63XL!_}6$Q*j+f93EX$bXwx z`6laG+vOp~+I-UVpQGSC&;tmMJ|am)G#3@Mhk`(rErW*LF5r)=c(OAgqJ8uDS|0y% zDHf3c6XfuR$A`5i2ABUT^y{sAiS+B$2Tk=j6e$)EUCc0uC4=5bEM-QHLkV*lk?$jN zjWQ?|jY&wS*@D`tluO!GAK9FtYv00y;k@r4pb&uOWWB!uv3IRdsscv>F2;ezmR&?J zk7#km@;5foUdG?}*bRhRpP5l%!w#9w%fjtj74U0|LG(v|y}vhD4R%L6g#HUUDegF= zzu3;GMM?!I{VT5j_2!iIKYvT$G=hH)zLRZg=$`#TI8>KMcbAxW3?|{YV{v~N3PXZPU8%^Vr_)$I zw|-?gNXo{fh{Cx(u2sIJYM&iS7PL}_2HpyDn-J@d@B^Fo%zu3;$gcLEzl6y}LwsZZ8mD$N5e`JBsuvPcDpXGS-nYG@h92&aT!41b?w7z=aM6WVfj z!FFPsiioJbpkJJkzIa+OY6kkXs_}-KpB#wZb1vznAfAO`It25z!jMVH6&jBVX2jPo z2G+2A>9_V|^`|m-xKzXJFS2K>i1QYc0-KNSilE*U+9*TixJV+a^zdoY7nrTad5 zE%#*vC?k|zW%Nmxm7(rO-@gndfeP~S#o!7hsUaI9XxLTpE3BN@^4~C#v&dlE57@FZeCd8=ck)U zvt4^cX*8!jFAy0&{O`r+#jzvBjxRz(*3{0GiJ}RH-U=u+r4o2GZiUiVv2s|k@+Rx# zan>J^nn^rb*1WCjJ>@YUZ&3-d;Q?e#ju|or)f7q05lLt#I0EKsp!KsrX~wP~1MDTq z1a0J57Z&KkTXNO!+8>d9=oPy5&#ePHOei{3AiSQsZ3C{YE(@=(3s62X+igYL-CEZ;I=@gYl5tI;+ZbU+ml9UE1sc&sx+}myk6Mn>|d;$bFQqA z##fT=emg+My+;~N-$XJk_ae;woDdf}&+a(7-TyRG7M7{H;~8DcMeFt5Uy1REG;3z;`!J2>^;B zRH<2O&dpoJvJ_CmRR}wy8bv)z7YTU6@l9^ytAwf#da(=^dNT+2O4~S+&pMv?I#xj{ zG5f!Bhc+zbO(4UVe^Q|7&MNmwW~E@R>Zn!DY`~& zFO;u^yMvYTsKh7h2BJOB|8f zVK;s%8%dwO_=;gzR$Ik9nuy4xmXK`V5On3by4-(=!{}m8Gq(3&!LHbh4HG@-H@FTC zz}iR>Ml;Bm(K9O|+VR7#n(u9N5h_b1keCV$jC$okejhkV2+Y9X+}{^NLp#lTYY|rq zgrq+t38P;dT|0lInZUFrFlWxudx61`f;H(TsT2`nEOMPE5BBqQiA?n-96IK*+S8g@OwjcCPn<+ePg{&;v5 zs+@)Nz6cfxkVw5aUmLmBK$!jmGyc-oF?}oeM2C<;t)iDJYm4XP?$z($(-2g9`9wJs z0kI{oN?fkZ?TD_0Z_SN;hu~2hB5tqqKY`+^@8!8Ts><{})YoEt=^+>$jYO;YnyQIm z#6Nizaefx&Fl19{r%QSGRLus$^xsiv_!no4-(@h+!SNBy=Z!VG9Vc=2JQ1VR7~)`W z{q&c)N8uuC9>%I>vGbp(G0?udwL9t@oS%qn;ItG0>v9zliAO~X1}-X|K!q2R6haeV z;WHJI^EkPz=ZogoK6kv=kwSg+)>SMPKemUPP*<3#y~S^mOTqW$w1 zkemj{8~^1;qW5$9=g@a>$FFfS9-@bChh0)KW+7}%Rhl`EQ=ZO4?)0!3eGRS*;{F%F z#hIyoYs-V8_QP(AzwQt-dLJQrEW~uYg|I7m!BaT|S54<$vEFwMoNQwT3ucVG{SB$X zb|a2hO&ZoJP_8S2+hX=w7-d*yf#~mph=Fzqq+tIIZL%bofvNzr6H3Nva$1;rD1EO) zbsGUk(x$-=|0>gW$n&iC-@8ZxVnqa*loLqzIsSB~LzpAZB;w3NsF(W|!JfRgS^w*q zGC`Yx5dNaB(^sW)s-)?lqDhiY)bo@|hYojRhk%V6>mYtA=x<*_hV9?<71?GwVwLgB zfA~OoB}ri$e2^dh_4=yOQGZcGkiTbPf5WFjT79Ptpcs!%AXK*LtC;XSD12xfensbB zi((c<4>-1DTK$M$_^NEAmvPlx83Q~oFfu)4ta_#Vue_Gs7#h*F>aX};FxCKnO<*vVy;U_gOTLd+&NQe_S4n_(x%MB=gR6)4S z3|wT8XfFrNiVuif=$go*K+*-6+t8c}S!EHlDgXQ+ zFRjEYfGdw8>PrY|xqfU$2cbT|%%UQY#6n1PgmVO{Vg_RsNGUJXt^t1)>;Vx%iJ0L7 zA=ZAdp;EAzgVtscTk3Tv+Aj5$kdU;a`j zG^jnq)80b1;X<$k1eu{2!x2QSq+OMnhxd;Fe&jg@iQ%kGZbHj}{3+nM(|@VbK;c0e zeGVZN4865b4;6wG)2#m4fGtKyJ95E``LkCIzTmg~a^p{et$LS*5avGu^L&4pl%o$x zQEJu@I?49{Z(eYF0T_I3fAsPT9>5$;gW#+^b4`|w;3D%VjcTtXQEfSyiB%!+F>N5d>U;WN%mupp;|Rx&3f% zT)x<^t%2jPc(ba}b_)hEJ=7b2lq3vHMqbB90~gq_>e*6GfSr&>@8+W#auYNJEV?S; zq7&r7;Tq?Nd4mjbT}7taj4@H^Iz@{EC#5Uioc_+!EH^GYt_%!U2AC+fWQeLogu_QD zN2`ASe4`60FnmTT|1ou3yoaz8W(=f;b>NDIm*B{>a6F?!;<8m>&Az28M~I!bc%Ud( zL^^Zbn7^-O&jK0ibtCB}6SgUFvy>_1e$~b_r|<$uO_LR)$&<(hzxru+ehyaJRpGEH z@H}hwVT06Tne)SM(r6`-^umg7uUnrUVwVaT)CDD&J&!`>1ATDVdwY8J^bUPvS{%+f z+N*CbanhMXSJk^H+UX+|SU!!bIU3*0lCAlsW733ja11_xGarMvYzF4USe-k?+kQ^M zHztIPHNbIL1(f)a;bdw2jgI`1kCB=gBvoe7JLoxK!x@9kb)C@YAL^!fs)O~3nbmo? z`12C=o(Q!Yq|{$L3r!@7Bg>Ja2IU(B{t_A|nbVbNj%7+Lxu;Mp`+;76t^mfaC1jn8 z4CgXwr>hoKb%?s=x8yB*(=%JArbE$?#nIt_+v=jQU}S8(#`!yX1oUCVM9MZQ;KJVPilp~M$_;nBQzJFe2H?|Ae`?mCHlcZwAU z;!Ec#^EA?|=}(O=uO&QSHP99^Hl9A0T~rImfU9s7W#v^rt|dAk;ArTn zM>uwW!P|s**xt>&;}TEM+8oxI{>il3Y~;bm8&%n z8r$rxPYqVy=+Nb9-Ti|@e~#s;%R&Y?bnKxTH|N`KInQofdk zrj5slm_&vC@y95-W2jC%1qYVrp~A3Njp#jo+nt$Pp(en%<;eClDH?RGcIZD zxKihK1}tcZlI980X!8#?iLQV4;Bmpp8x^aUB(l2|C)5KPDP&E!st^S?roG4i^jSY+ zx&Agr$TTF^v+4FH#zyB*02z~Y!qm1@@#!E|D_TAk8n*VUxziw5c3ty~%y*i5!Q3NE zlhTl!7zVV&f)?3#N8sW$~44CVnc5s71n8f!S7ICGZ zqZ)a1*a*cq&c7HDN!O%ng>cRU)>JCw-dfT3%l3# zjN(tJIDc<_U9l$gbOk6>{6IYa8%KOqJ*MY=*MrpWh&83rJTG;Fay%8G)Rb$2Iq}b3 zRlK4M@oP0ZE|in|?}1ob!5~{kI_nRL1>NnoMZQR(T5rpWf0M+t4bmPyk}FcwE!FUf zc~i-%9QG=bD{sFP9Bx=BZeY0{bKIw>Nz;d>{Z=p6`$uQVb8ns;AFcfU#L7~5s{$~& zN=s+@!qMvI_eBgF{Ct9Ai`g=sT!nbl2q@%_yjb}9yBE=o)U4I+s6+-6qN?3h&N5V21)PL&7s#fdOgX;MblrwD`ZVEk={qs~4t5^@ZpGW=tDf^9Fx)L7>9o%(8! z8WB$yOI#$o{yG*Jzj_gkRGtrxI%L|RsS3r^elw8Ir}S3x2>(D4-Zn4+3Pg9`&uc%Q zx4|h_#OZ-TD!G=-sV1cG(xagy6m`qBF>xY{QS zU^8I9;>Fv`>Lc(9!9i7{)C_xLrL!GYcV2jZzcyL?z-O`9GvMZW664kABR|(pX9Mx1hAw*6 zq=g>nTzqS2x2Vs&^}K!-b%jQfYAb5NJggU?Ouu{7*KipJi#aQ9WzSkLazUL)CS5(? zhcW?Hr@B<2HEKhQPo*7~hvqqRn?Ga(#&6_H7(HFj+Z#I>U)vNn!#b#+zx%1gy0(1c z>cI^(-vQkf;r%er$_l^%+P_rLPd-xikTI6StHs1XXdLk;`)2C^*V9vvXk%o5yx8nO@6J$ z-P+xz-A`D~X{CEiSCUnwbnOB*B3hp-J*nY>xnAHr=bL*6rZI(ykLj7wsxFV%6=Peu zCVWFrQ31(oV8skD*>MD$IFWg~aY8lGE3cQifp zVhMF-7^|_}1EDwgkD#`M z5^)4LS@ZIAfZt*Ocfjd|#=&5WJ2Wa*o=9zo$V-z2E4&Hr=~7BF8&~)KrP?YbleDWt z?X+Y#AsF8_D)Y7hQM8bSO091OSwc?+w%?ZHra9s0obWa0RVymCeG?QbjZzq%dPCPD z@uy>hD5J0};3|)^=nGN_p3Szq@g*cINfC{0fkr6^-fJTXWp)Kdy;kmNN3tLjj;w?r z9fwB4$$p<3M!lb-cL+-hz@Z^)qxUaM6-wItHWBJA^kVbHHG=rZKUve1U^;gZB;Q#M zlWsk~pBJLeD%npkMFp5MaiposTeN(d0r!rpcRm26r(ERiut#a-Yi)S_hl1)$b|B209iAs10%3E1y(WAx}$rt}S`y+odOv02w? zQ`cQyaB6Gt{M2m=|Hp)vBJGjO#bo$1I_g5v(8rhI5D0Wx&6{PYPRnsdCf@m*BT zkBhJ~ReY$(0}{@7^Hu!IGktr3i?o*}US;<_R~+e)jFXkVD6Ji-D86K`(&Yp&OVvu* zd=YzPGMc7sv~J7>MLvmfJ{g7y?fO|-V6)(}^XOh4&WWWlj8xA_U+n2+@w)59ZqU8!Zhi=CQt!=xV1wK37h#Oy9^2V-&(^u} zi_a6ud&FC->YKV{nHZpM7U8;!6_KQeGd+a8GvmxA=Ok2(9UDf)a-Tg!$Jt4JQX*6t zN1JWR3W?lPx@F5&ZY#y^t#bPlS`n9{p|)!3nQ>Aa_C1+K5{IOQTg_5r?-XC3-U(dm zX2QihuWsIByK)*u5>6OlDi$DdH_wMKdd)Yu4K}VpVkdpjoaOTf6-&`btq6Jqm*9+& zMmmA_lm2=kip!W43R0_N4UUQxWfQ5;GXeS9UPNM-Fs*Gn*jOW)VSAqL#Q@;3F1=*N zOEzQGk=#nXL#HAo9{XbvpHWzr(Nua-sPXEa#H34~B(j##frxJPV= zRqtZ;s9q=E?{uC8l%RnZY#B;TDEt;MJyyfV5-lp5(0R@jyEjQpMZ;UhmZ}0bOH_I& z24R?uo`AdlWNquSG2w5~~mIPSm$diH(OLFj8<%H_VVPg)r!q=i3Bz?yd z#su)(GhgzqDa_09NJ$S@kj|bvByYF8h~}yjjDB^n{=$(i-273rbbjEpEouI9^9=;| zd&l_G1iLQs>4L`|j?E!LCEoX%T1=-ir-;et8qvgroZyDRMgPzj6QNEd zFki8))e3vZ-&$S1#5zKg!;YM(1}At^Q0@qgW@S}W8(t?(E zb0jpjX5L7jO?R2bzwNrP#yAx`&yI7S3PhmJewY#&12=1?2zSSi*A&;-RaJ%kck*Nl zAV#{|Q;-1C;r@Y8Kxtim@$_jm{iflZS|0MMW%bt_Wh#dC3iH4xI7vZa%9K*YDE89if13@40B*{4mROX zODH;vDS0dLWyN<*W(IqmcKzWxgRwM?75Pni;n;JxS|I?!M}dLHA! zshD~qA^PP-TAP%?(=TeWyb}HwN3(8)Sw}1SuGmY>80DEg>l}W1wI$5BsaCB7#28gS ziO~&dc{lHfF`i}>v&t_^M;F;22D|)lH&1V$u1Y5AHjQ9il*}gz%*;)ipq6|V2GhZG zsK>v!L|I8fkd-FJDVEM1>Yu-Sx7)GU_>(4%chh>VT9==NQac}uWxkbT?1rNL}X zvL)5IgKa<3ldo-1coJC;Z&j_-7c9creg&c>rCO)?LEYt8?4of1L)qE%-cxNg&zUGL zURdOBtMQq|OJE`mIyej5ll&&9o!!WFKeEr;%xG&d!+R8;tu_d?g@8m3J+-XN2#Tno}yRVL8cwV?CQLwhQU1&gaL*kx3Kh3(P*vUqB zB}0~!Vny7uYlIB$hZHb;FygRuCffA#b)F|-!f@AkD( z$apr$8|kA)4ljcO#?sDB29pO*ATKeVL-dF`{bmB|{dhvxJ-ASe&lZ>wxW~xkQ?%Nj z)*t|v817b8dQY7F5-l^_L)d6Pg*o4~;&|Tt4ECAPW$it~$1{#e+gi#Jg!Bepo6 z1~XOZNCa!)60PLgo$_r6#W$Ej0T|Tf*Kl`# z*s3xb;VhYUTe5Ta4LN_KEVfup^h9nMJ?0qCI@MD@^MHd1RruaaW{^! zT#IpkUL|vE!lIaMkmk=xQrxINQP&*Spw)yiW@uiAUa6%lLmm@9JEnbETf7|9q>#7J`#Y`DIi{%qlXsjlnYke+4BWX$bL_JmZI zoB)SXLH|%qV=s=mAXHFciFCsI>}XEaOWAwzT7+p7(EziOKPYOGfj+CTXkA6d6>yhQV!NeLVs%D2zn6Dy<41UQD^c4 z)5PUP8I;usBPfLt^f{u@>uwI#8&dQ+X_IaawdhNtq5_N>H3}Z&@%jse^*S9Bx@|{O^L{NQ`tKS@hv2k7Wjv?KT3CuVbFOa*^yKLspMA6**A1qSL~I zi7-D9`gzJn>M4dWeH%MKw(^LYC1F}I4Jp1(CkA3_uh@K7(8?yBtQRlK`+GBY?`}5p z%L=s+-c0nq+z>}at{o?}e>qwbQNnWzf%~TV!mc>WCgogC!?YM|0D9!q+H=8}GVggy zcP?fg@|@L%_nlP0ws-6^dM0Ri-Qe*v6km28x*{h8ZKi+p3F~l|tct;wjeNh|Zz|9t zSi9Y=Q@ZxO$_c5Y30ihj*KSY2Ir>%b^6or{pIzCC2vYAv6B!m8E|6uWD*nKP(~A#w zDtL09e_`Bf)bRU}de#x@p>~2Xi94r~ZKv9fI8le8pAko(dPs>!g+c^>1YvZ=$R{cC zjoUL%MbBZYeoPtYG}Z@Bv0dkFA~gq^Qoav?TlmJYIxPs|=EkPGrwPlr;O{Ce7@>XX z)n$~U;H&+@hW)iYzQLxFS@Gyd+VXfDZ>6bSR^>B!QllFNMC3F|pNplDCAA_{Cnitj z(q?IjtrwhiH*hUt-bo!`#>}JRAjFyI73p2yrz?iu;;0}#E4g+~7J%Kmo$pVB6^pw% zklJAJRml4};m@03)7o*)+6#`WU+pbVnzw;fbnQ>FqvYt z1Q|zLvAmO&>OAUyJ`uTg>!wf7jg)0^!Dt!Z0U9DA3?Uk0oWCiCakR2DogK}-kob$y zUB6ay`{&oLyZ;44K!OhK2b{MJbd4!nFs)QkL!+LQz2-C-2CZvc5dH1BE2hnpdX2Rc#bx~iA5J@Kf8m_T|iBLy@vX(;E1yk%NiIXNAaeG0(x4Kh3~Xtx~-f8sgpm7a}1(xr~;$`h1eGdBiyr zS&GL_4QN9nyDBK(J4pAWhzSoP_vJrMN+!77}*<{|d<>}+Nr!%qZ`hM@ip6#lzZ z$Vv<&kGW(q!*2X|qPFHpddiP{`3ZcKPi{y*qWkxtM+o{{<-s;YAT6Il)BCE^{2#yn z2!7vnq|6inEUY3;B50SrJwaNs2hi314yuJTeD~u3Ez-5QP;v;;KtBQfFk1wQa7$Sg z2K7GG?2nNq=YNolL1lNK*9%}f{arvC6rf