Add new VHDL entities for image processing and update test scripts for Lab2

This commit is contained in:
2025-03-29 00:50:32 +01:00
parent 58f8384507
commit a5264642a6
14 changed files with 552 additions and 18 deletions

6
LAB2/cons/pins.xdc Normal file
View File

@@ -0,0 +1,6 @@
set_property IOSTANDARD LVCMOS33 [get_ports led_of]
set_property IOSTANDARD LVCMOS33 [get_ports led_ok]
set_property IOSTANDARD LVCMOS33 [get_ports led_uf]
set_property PACKAGE_PIN U16 [get_ports led_of]
set_property PACKAGE_PIN E19 [get_ports led_ok]
set_property PACKAGE_PIN U19 [get_ports led_uf]