Update .gitignore and enhance README.md; add new VHDL files for KittCarPWM, ShiftRegisters, and PulseWidthModulator

This commit is contained in:
2025-03-20 15:05:27 +01:00
parent c29b83ba63
commit 163ad448f8
23 changed files with 669 additions and 279 deletions

View File

@@ -0,0 +1,56 @@
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 03.03.2025 14:49:43
-- Design Name:
-- Module Name: ShiftRegister_v0 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity ShiftRegister_v0 is
Port ( reset : in STD_LOGIC;
clk : in STD_LOGIC;
din : in STD_LOGIC;
dout : out STD_LOGIC);
end ShiftRegister_v0;
architecture Behavioral of ShiftRegister_v0 is
signal sr : std_logic := '0';
begin
process(clk, reset)
begin
if reset = '1' then
sr <= '0';
elsif rising_edge(clk) then
sr <= din;
end if;
end process;
dout <= sr;
end Behavioral;